Home » Posts tagged 'ARM'

Tag Archives: ARM

With DragonBoard™ 410c Qualcomm is pioneering the high performance, 64-bit capable, low cost ARM based platform market for communities of embedded developers, educators, makers et al.

This is Qualcomm’s first initiative to target the communities. Since the company’s Snapdragon 410 SoC had already been designed into no less than 291 smartphones available on the market community members are assured of getting their costs incredibly low. In addition to that Cortex-A53 is used alone in higher and higher-end devices as the result of increased competition between MediaTek and Qualcomm, which will assure the communities a continuous supply of leading edge SoCs in the future. Read that companion post of mine in which you could also find the basic facts about the advantages of the Cortex-A53 cores vs. the earlier designs from ARM.

Charbax from Maker Fair Shenzhen 2015 (June 19-21, 2015)

Qualcomm DragonBoard 410c is a credit card sized http://96Boards.org compliant development board based on a Qualcomm Snapdragon 410 processor, with I/O like USB device, 1080P HDMI, micro USB port, support WiFi, Bluetooth, GPS, support Android, linux, planned to support windows 10 in the near future. The DragonBoard 410c is designed to support rapid software development, education and prototyping, including the next generation of robotics, cameras, medical devices, vending machines, smart buildings, digital signage, casino gaming consoles, and much more. At Maker Fair Shenzhen, Qualcomm is showing off how easy it is to get going with development using their new DragonBoard 410c, being released now

June 18, 2015: Welcome to the DragonBoard™ 410c

Available now! The DragonBoard™ 410c by Arrow Electronics is the first development board based on a mid-tier Qualcomm® Snapdragon™ 400 series processor. The board is designed to build a software ecosystem around the Snapdragon 410 processor, as well as offering uses in education, prototyping, and commercial embedded computing products. Featuring the 64-bit capable Snapdragon 410 quad-core ARM® Cortex® A53 processor, the DragonBoard 410c supports Android 5.1Linux based on Ubuntu and there are plans to offer support for Windows 10. It offers advanced processing power, integrated WiFi, Bluetooth, and GPS, all packed into a board the size of a credit card. The board supports feature-rich functionality, including multimedia, with the Adreno™ 306 GPU for PC-class graphics, integrated ISP with up to 13 MP camera support, and 1080p HD video playback and capture with H.264 (AVC).

The DragonBoard 410c is an ideal foundation for prototyping and includes 1GB 533MHz LPDDR3 memory, 8GB eMMC 4.5 storage and a micro SD card slot, as well as one 40-pin low speed and one 60-pin high speed expansion connector, and the footprint for an optional analog expansion connector for stereo headset/line-out, speakers and analog line-in. The board can be made compatible with Arduino using an add-on mezzanine board.

The DragonBoard 410c has the rich feature set and mid-tier accessibility to enable wide-ranging embedded and Internet of Everything (IoE) applications, including the next generation of robotics, cameras, medical devices, vending machines, smart buildings, digital signage, casino gaming consoles, and much more.

March 18, 2015: Qualcomm Announces Support of Windows 10 for the DragonBoard 410c Development Platform and Mobile Device Reference Designs

Support brings OEMs and developers high-performance Snapdragon enabled platform to help accelerate development for Windows 10 mobile and Windows 10 IoT devices

Qualcomm Technologies, Inc. (QTI), a subsidiary of Qualcomm Incorporated (NASDAQ: QCOM), today announced its support for Microsoft Windows 10 for IoT devices and Internet of Everything (IoE) applications with the DragonBoard 410c development board. Based on the Qualcomm® Snapdragon 410 processor by QTI, the DragonBoard 410c platform has superior functionality and computing capabilities, as well as Wi-Fi, Bluetooth and GPS, and is one of the world’s first high performance, low cost ARM®-based platforms for Windows 10.  It is a credit card-sized development kit designed to support rapid software development and prototyping for commercializing new inventions and products, such as the next generation of robotics, cameras, set-top-boxes, wearables, medical devices, vending machines, building automation, industrial control, digital signage, and casino gaming consoles.

“Qualcomm Technologies continues to offer the mobile device and development community the foundation and resources they need to build their portfolio of Windows devices across smartphones, tablets and IoE applications,” said Jason Bremner, senior vice president of product management for Qualcomm Technologies. “We are thrilled to demo DragonBoard 410c running Windows 10 IoT at WinHEC. DragonBoard 410c is an ARMv8-based development platform which is designed to support a wide array of embedded computing and IoE devices, drivers and application development.”

Microsoft is committed to advancing the Internet of Things with Windows 10 and Azure Cloud Services. Our collaboration with Qualcomm Technologies to provide Windows 10 for the DragonBoard 410c is an important milestone in realizing a new device-as-a-service proposition for device builders,” said Kevin Dallas, general manager, IoT Team, Operating Systems Group, Microsoft. “Combining Windows 10 with the performance of Qualcomm Snapdragon 410 processors will help the ecosystem realize robust, feature-rich use cases and enable developers to quickly commercialize their hardware products.”

The first live demos on the DragonBoard 410c will occur at WinHEC on March 18-19, 2015, in Shenzhen. The event will also feature technical sessions on Qualcomm Reference Designs (QRD) by QTI, as well as a QRD-based Windows Phone device display. For more information on WinHEC, please visit www.winhec.com. Additional information about QRD can be found at https://qrd.qualcomm.com/, or on the DragonBoard 410c at http://developer.qualcomm.com/dragonboard410cThe DragonBoard 410c is anticipated to be made commercially available by third party distributors this summer.

In addition to the introduction of Windows 10 support for the DragonBoard 410c, QTI’s long-standing collaboration with Microsoft has resulted in 25 OEMs developing over 30 new Windows Phones based on various Qualcomm Reference Designs to date. The Qualcomm Snapdragon 210 processor-based reference design will be the first reference design from Qualcomm Technologies to support the new Windows 10 operating system, with both phone and tablet reference designs to help manufacturers quickly introduce feature-rich Windows mobile devices.

About the Qualcomm Reference Design Program

To date, the Qualcomm Reference Design program by QTI has helped OEMs and ODMs around the world to accelerate their product development time and reduce related costs.  More than 1,080 commercial QRD-based devices have been shipped or are in the pipeline across 21 countries. Additionally, there are more than 270 commercial QRD-based LTE devices with more than 180 designs in the pipeline, helping provide consumers with more connected devices around the world.

March 19, 2015: DragonBoard 410c for Embedded Computing and IoE bí Leon Farasati, senior product manager at Qualcomm CDMA Technologies (QCT) responsible for Snapdragon Mobile Development Platforms

What will you build with this dragon?

As mobile devices powered by Qualcomm® Snapdragon™ processors have grown in functionality and number, the processor has become attractive to manufacturers of adjacent products like robots, kiosks, display signage and arcade machines.

Most of the interest has come from companies in embedded computing, where applications are more often industrial than consumer-facing and require parts designed for longevity, so they have often lacked features we take for granted in mobile, like a small footprint and low power consumption.

It turns out that Snapdragon processors have been quite a nice surprise for them.

Why Snapdragon processors for embedded computing?

As the Internet of Everything (IoE) takes off, manufacturers of embedded products are looking at everything they can do with Snapdragon processors, including HD video, Wi-Fi, multimedia, computer vision and cameras. They like what they see, and they really like that they can build those functions into embedded products with greater energy efficiency, no fans, no noise and a low thermal profile.

We’ve been working with them for the last few years with tools, kits and platforms that the hardware ecosystem has rolled out based on Snapdragon 800 and 600 series processors. Now we’re gearing up to support Snapdragon processors for a broader group of developers, makers and manufacturers with a new low-cost development board design based on the 64-bit capable Qualcomm® Snapdragon™ 410 processor which has been designed for longevity.

DragonBoard™ 410c

The “c” is for “community”, and that’s exactly what this board is intended to support. The DragonBoard 410c is one of the world’s first high performance, 64-bit capable, low cost ARM based platforms. It has integrated Wi-Fi, Bluetooth® and GPS, all in a board the size of a credit card. It’s designed to be compatible with the 96Boards Consumer Edition, which supports the hardware community to develop a range of compatible add-on products, shields and accessories. 96Boards is the open platform specification for high-performance development boards supported by Linaro.

DragonBoard based on Snapdragon 410

The DragonBoard 410c has support for Android, Linux and Windows 10, providing incredible options for software solutions. And as you would expect with any Snapdragon processor, it’s well equipped to support rich multimedia applications with an Adreno™ 306 GPU, 1080p HD video playback and capture with H.264 (AVC) and integrated ISP with support for 13 MP camera.

It also comes equipped with high- and low-speed expansion connectors, analog expansion connector for headset, speakers and FM, plus I/O interfaces for HDMI, USB 2.0 and micro SD card slot. All said, we believe this will make a great platform for rapid prototyping and commercializing a broad range of new inventions. The path to commercial devices is supported by an established ecosystem of embedded solutions providers who provide off-the-shelf or custom system-on-modules, support and design services for commercial deployments.

Last week we announced DragonBoard 410c. This week we are showing the first live demos of it at Microsoft-hosted WinHEC, and this summer DragonBoard 410c is anticipated to be commercially available through third party distributors.

Next Steps

With DragonBoard 410c we’re working to make made-for-mobile Snapdragon features a lot more accessible to help fuel innovation of embedded products. Adjacent products can benefit from AllJoyn™, Adreno GPU, Fast CV™, Vuforia™, audio and video features that seemed far beyond embedded computing just a couple of processor-generations ago. We can’t wait to see what you’ll invent.

Cortex-A53 is used alone in higher and higher-end devices as the result of increased competition between MediaTek and Qualcomm

Cortex A53 vs A7 performance

We’ve learned a lot during the last one a half years about the superiority of the Cortex-A53 cores for the mass produced SoCs. Some major points about that you see on the right:

My prediction back in Dec 23, 2013 was that The Cortex-A53 as the Cortex-A7 replacement core is succeeding as a sweet-spot IP for various 64-bit high-volume market SoCs to be delivered from H2 CY14 on. Such a prediction is a reality now as no less than 291 smartphones are listed as of today in PDAdb.net, which are using the Qualcomm Snapdragon 410 MSM8916 quad-core SoC based on Cortex-A53. The first such device, the Lenovo A805e Dual SIM TD-LTE was released in July, 2014.

Meanwhile Qualcomm’s downstream rival, MediaTek is moving up fast with its offerings as well. There are 8 devices based on quadcore MT6732M since Dec’14, 27 devices which based on quad-core MT6732 since Nov’14, and even 6 devices based on octa-core MT6753 since Jan’15. Note however that there are 3 such products from the Chinese brand Meizu, and one each from another local brands, Elephone and Cherry Mobile. Only the ZTE model is from a 1st tier global vendor yet.

My prediction was also proven by the fact that interest in that post was the highest on this blog as soon as the respective new SoCs, and commercial devices based on them arrived:

Cortex A53 vs A7 success on my blog and reasons for that -- 22-June-2015

Now even higher end, octa-core smartphones based on Cortex-A53 alone are coming to the market from 1st tier device vendors

June 1, 2015: Asus ZenFone Selfie (ZD551KL)
(launched on the ASUS Zensation Press Event at Computex 2015)


from the product site:

ZenFone Selfie features the industry’s first octa-core, 64-bit processor — Qualcomm’s Snapdragon 615. With its superb performance and superior power-efficiency you’ll shoot sharp photographs at stupefying speed, record and edit Full HD (1080p) video with minimal battery draw, and enjoy using the integrated 4G/LTE to share everything you do at incredible speeds of up to 150Mbit/s!

expected price in India: ₹12,999 ($205)
(Re: “coming in an incredible price” said in the launch video about the earlier ZenFone 2 (ZE551ML) which has the same price, but a 1.8 GHz Intel Atom Z3560 processor, only 5 MP secondary camera etc.)

from the ASUS Presents Zensation at Computex 2015 press release:

ZenFone Selfie is a unique smartphone designed to capture the best possible selfies, quickly and simply. Featuring front and rear 13MP PixelMaster cameras with dual-color, dual LED Real Tone flash, ZenFone Selfie captures beautiful, natural-looking selfies in gloriously high resolution. The rear camera features a large f/2.0 aperture lens and laser auto-focus technology to ensure near-instant focusing for clear, sharp pictures — even in low-light conditions where traditional cameras struggle.
ZenFone Selfie includes the brilliant ZenUI Beautification mode for live digital cosmetics. A few taps is all that’s needed to soften facial features, slim cheeks, and enhance skin tone to add vibrancy, and all in real time — injecting instant verve into any composition. ZenFone Selfie also has Selfie Panorama mode, which exploits ZenFone Selfie’s f/2.2-aperture front lens and 88-degree field of view to capture panoramic selfies of up to 140 degrees. With Selfie Panorama mode enabled, selfies become a party with all friends included — plus the ability to capture panoramic scenery for stunning backdrops.
ZenFone Selfie has a large 5.5-inch screen that fits in a body that’s a similar size to that of most 5-inch smartphones, for a maximized viewing experience in a compact body that fits comfortably in the hand. It has a high-resolution 1920 x 1080 Full HD IPS display with a wide 178-degree viewing angle and staggering 403ppi pixel density that renders every image in eye-delighting detail. ASUS TruVivid technology brings color to life in brilliant clarity, making selfies and other photos look their best. Tough Corning® Gorilla® Glass 4 covers the display to help protect against scratches and drops.
ZenFone Selfie features the industry’s first octa-core, 64-bit processor for the perfect balance of multimedia performance and battery efficiency — the Qualcomm® Snapdragon™ 615. This extraordinarily powerful chip equips ZenFone Selfie to provide the very best multimedia and entertainment experiences, carefully balancing high performance with superior power-efficiency.

June 19, 2015 by SamMobile: Samsung’s first smartphones with front-facing LED flash, Galaxy J5 and Galaxy J7, now official

Samsung has announced its first smartphones with a front-facing LED flash; the Galaxy J5 and the Galaxy J7. Specifications of these devices were previously leaked through TENAA, and their UI was revealed through Samsung’s own manuals. Now, they have been officially announced in China, where they would be available starting this week, but there’s no clarity about their international launch.
All the mid-range and high-end smartphones from the company released recently have started featuring high-resolution front-facing cameras, and the same is the case with the Galaxy J7 and the Galaxy J5. To complement their 5-megapixel wide-engle front-facing cameras, they are equipped with a front-facing single-LED flash. Other features include a 13-megapixel primary camera with an aperture of f/1.9, 1.5GB RAM, 16GB internal storage, a microSD card slot, dual-SIM card slot, and LTE connectivity. Both these smartphones run Android 5.1 Lollipop with a new UI that is similar to that of the Galaxy S6 and the S6 edge.

The Galaxy J7 is equipped with a 5.5-inch HD display, a 64-bit octa-core Snapdragon 615 processor, a 3,000 mAh battery, and is priced at  1,798 CNY (~ $289). The Galaxy J5 features a slightly smaller 5-inch HD display, a 64-bit quad-core Snapdragon 410 processor, a 2,600 mAh battery, and is priced at 1,398 CNY (~ $225). Both of them will be available in China in three colors; gold, white, and black.

The Galaxy J5 and J7 are targeted at the youth and compete with devices like the HTC Desire EYE, Sony Xperia C4, and the Asus ZenFone Selfie, all of which have high-resolution front-facing cameras with an LED flash.

May 6, 2015: Sony launches next generation “selfie smartphone” – Xperia™ C4 and Xperia C4 Dual

The selfie phenomenon is about to kick up a notch with the introduction of Xperia™ C4 and Xperia C4 Dual – Sony’s next generation PROselfie smartphones, featuring a best in class 5MP front camera, a Full HD display and superior performance.

“Following the success of Xperia C3, we are proud to introduce Sony’s evolved PROselfie smartphone,” said Tony McNulty, Vice-President, Value Category Business Management at Sony Mobile Communications. “Xperia C4 caters to consumers that want a smartphone that not only takes great photos, but also packs a punch. Benefiting from Sony’s camera expertise, the 5MP front-facing camera with wide-angle lens lets you capture perfect selfies, while its quality display and performance features provide an all-round advanced smartphone experience.”
We all like a high-profile selfie – so go ahead and get snapping:
You can now stage the perfect selfie, getting everything – and everyone – in shot, thanks to the powerful 5MP front camera with 25mm wide-angle lens. Sony’s Exmor RTM for mobile sensor, soft LED flash and HDR features means the pictures will always be stunning, even in those ‘hard to perfect’ low light conditions. Superior auto automatically optimises settings to give you the best possible picture and SteadyShot™ technology compensates for any camera shake.
With 13MP, autofocus and HDR packed in there is no compromise on the rear camera, which delivers great shots for those rare moments you’re not in the picture.
You will also be able to get even more fun out of your smartphone with a suite of creative camera apps such as Style portrait with styles including ‘vampire’ and ‘mystery’ to add a unique edge to your selfie. Moreover, apps such as AR maskgive your selfie a twist by letting you place a different face over your own face or others’ faces while you snap a selfie.
Experience your entertainment in Full HD
Now you can enjoy every picture and every video in detail with Xperia C4’s 5.5” Full HD display. Watching movies on your smartphone is more enjoyable thanks to Sony’s TV technology – such as Mobile BRAVIA® Engine 2 and super vivid-mode – which offers amazing clarity and colour brightness. Enjoy viewing from any angle with IPS technology.
Great video deserves great audio to match, so Xperia C4 features Sony’s audio expertise to deliver crisp and clear audio quality. With or without headphones, you can sit back and enjoy your favourite entertainment in all its glory.
The design of Xperia C4 has also been crafted with precise detail and care to ensure every aspect amplifies the sharp and vivid display. A minimal frame around the scratch-resistant screen enhances both the viewing experience and the smartphone design, while its lightweight build feels comfortable in the hand. Xperia C4 comes in a choice of white, black and a vibrant mint.
Superior performance, with a power-packed battery that just keeps going
Whether you’re running multiple apps, checking Facebook, snapping selfies or listening to the best music – you can do it all at lighting speed thanks to Xperia C4’s impressive Octa-core processor. Powered by an efficient 64-bit Octa-core processor [Mediatek MT6752], Xperia C4 makes it easier than ever to multitask and switch between your favourite apps, without affecting performance. Ultra-fast connectivity with 4G capabilities means it’s quicker than ever to download your favourite audio or video content and surf the web without lag.
The large battery (2,600mAh) provides over eight hours of video viewing time, meaning that the entire first season of Breaking Bad can be binged uninterrupted, while Battery STAMINA Mode 5.0 ensures you have complete control over how your battery is used.
Xperia C4 is compatible with more than 195 Sony NFC-enabled devices including SmartBand Talk (SWR30) and Stereo Bluetooth® Headset (SBH60). You can also customise the smartphone with the protective desk-stand SCR38 Cover or with a full range of original Made for Xperia covers.
Xperia C4 will be available in Single SIM and Dual SIM in select markets from the beginning of June 2015.
For the full product specifications, please visit: http://www.sonymobile.com/global-en/products/phones/xperia-c4/specifications/

price in India: ₹25,499 ($400) and ₹25,899 ($408) for the Dual-SIM version

June 1, 2015: The stakes have been raised even higher by a higher-end octa-core SoC from MediaTek with 2GHz cores which is also 30% more energy efficient because of the first time use of 28HPC+ technology of TSMC
MediaTek Expands its Flagship MediaTek Helio™ Processor Family with the P Series, Offering Premium Performance for Super Slim Designs

P-series the first to use TSMC’s 28nm HPC+ process, which reduces processor power consumption

MediaTek, a leader in power-efficient, System-on-Chip (SoC) mobile device technology solutions, today announces the launch of the MediaTek Helio™ P10, a high-performance, high-value SoC focused on the growing demand for slim form-factor smart phones that provide premium, flagship features. The Helio P10 showcases a 2 GHz, True Octa-core 64-bit Cortex-A53 CPU and a 700MHz, Dual-core 64-bit Mali-T860 GPU. The Helio P10 will be available Q3 2015 and is expected to be in consumer products in late 2015.

The P10 is the first chip in the new Helio P family, a series which aims to integrate into a high-value chipset, premium features such as high-performance modem technology; the world’s first TrueBright ISP engine for ultra-sensitive RWWB; and, MiraVision™ 2.0, for top-tier display experiences. The features available in the P series include several of MediaTek’s premier technologies, such as WorldMode LTE Cat-6, supporting 2×20 carrier aggregation with 300/50Mbps data speed; MediaTek’s advanced task scheduling algorithm, CorePilot®, which optimizes the P10’s heterogeneous computing architecture by sending workloads to the most suitable computing device – CPU, GPU, or both; and, MediaTek’s Visual Processing Application – Non-contact Heart Rate Monitoring, which uses only a smartphone’s video camera to take a heart rate reading and is as accurate as pulse oximeters/portable ECG monitoring devices.
“The P series will provide OEM smartphone makers with greater design flexibility to meet consumer demands for slim form-factors, which provide dynamic multimedia experiences,” said Jeffrey Ju, Senior Vice President of MediaTek. “The P10 enables state-of-the-art mobile computing and multimedia features all while balancing performance and battery life.”
The Helio P10 is the first product to use TSMC’s 28nm HPC+ process, which allows for reduced processor power consumption. With the help of the latest 28HPC+ process and numerous architecture and circuit design optimizations, the Helio P10 can save up to 30% more power (depending of usage scenarios), compared to existing smartphone SoCs manufactured using the 28 HPC process.
 “We are pleased to see MediaTek’s achievement in producing the world’s leading 28HPC+ smartphone chip,” said Dr. BJ Woo, Vice President, Business Development, TSMC. “As an enhanced version of TSMC’s 28HPC process, 28HPC+ promises 15% better speed at fixed power or 50% leakage reduction at the same speed over 28HPC. Through our competitive 28HPC+ technology and process-design collaboration with MediaTek, we believe MediaTek will deliver a series of products which benefit smartphone users across the world.”
As with the entire line of Helio SoCs, the P10 is packed with premium multimedia features. With a concentration on advanced display technologies, premium camera features, and HiFi audio, the P10 delivers leading functionality around the features most used on today’s mobile phones:
  • 21MP premium camera with the world’s first TrueBright ISP engine:
    • Enables ultra-sensitive RWWB sensor to capture twice as much light as traditional RGB sensors in order to retain true color and detail, even in low light. The RWWB sensor also enhances the color resolution, even when compared with RGBW sensors.
    • Other features include a new de-noise/de-mosaic HW, PDAF, video iHDR, dual main camera, less than 200ms shot-to shot delay, and video face beautify.
  • Hi-fidelity, hi-clarity audio achieves 110dB SNR & -95dB THD
  • Full HD display at 60FPS with MediaTek’s suite of MiraVision 2.0 display technologies:
    • UltraDimming – Dimmer background lighting for more comfortable reading, even in low-light situations.
    • BluLight Defender – A built-in blue light filter that saves more power than conventional software applications.
    • Adaptive Picture Quality – Ensures the best picture quality when using different applications. True-to-life colors when in camera preview; vibrant colors when watching videos.
The MediaTek Helio P10 will be released in Q3 2015 and is expected to be available in consumer products in late 2015.

Note that Helio P1 is a significant step in MediaTek’s strategy already outlined in the following posts of mine:
– March 4, 2014MediaTek is repositioning itself with the new MT6732 and MT6752 SoCs for the “super-mid market” just being born, plus new wearable technologies for wPANs and IoT are added for the new premium MT6595 SoC
– March 10, 2015MediaTek’s next 10 years’ strategy for devices, wearables and IoT

The Dawn of the SoC 2.0 Era: The TSMC Perspective

From its companion post The Dawn of the SoC 2.0 Era: The ARM Perspective

futureICT - Cortex-A Roadmap Strategy -- April-2015

Source of the slide: ARM Cortex系列核心介绍 (Core ARM Cortex Series Introduction, 52RD, April 13, 2015)

Regarding TSMC itself the April 8 conclusion in TSMC Outlines 16nm, 10nm Plans article by EE|Times is:

“It’s not completely clear who is ahead at 16/14 but I think TSMC is making a major commitment to trying to be ahead at 10,” Jones said. “If that happens and TSMC has closed the gap with Intel, the issue is then if TSMC’s 10 and Intel’s 10 are the same,” he said.

Background from the April 14, 2015 TSMC Symposium: “10nm is Ready for Design Starts at This Moment” article in Cadence Communities Blog:

The 10nm semiconductor process node is no longer in the distant future – it is here today, according to presenters at the recent TSMC 2015 Technology Symposium in San Jose, California. TSMC executives noted that EDA tools have been certified, most of the IP is ready or close to ready, and risk production is expected to begin in the fourth quarter of 2015.

Here are some more details about 10nm at TSMC as presented in talks by Dr. Cliff Hou, vice president of R&D at TSMC (right), and Dr. BJ Woo, vice president of business development at TSMC (below left). At the TSMC Symposium, speakers also introduced two new process nodes, 16HHC and 28HPC+ (see blog post here).

According to Woo, TSMC is not only keeping up with Moore’s Law – it is running ahead of the law with its 10FF offering. “We have done a lot more aggressive scaling than Moore’s Law demands for our 10nm technology,” she said. A case in point is the fully functional 256Mb SRAM with a cell size that is approximately 50% smaller than the 16FF+ cell size. She called this an “exceptional shrink ratio” that goes beyond traditional scaling.

And it’s not just SRAM. The 10FF node, Woo said, can scale key pitches by more than 70%. Combine that with innovative layout, and 10nm can achieve almost 50% die size scaling compared to 16FF+. “And this is very, very aggressive,” she said.

After noting that the 16FF+ already provides “clear performance leadership,” Woo said that 10FF offers a 22% performance gain over 16FF+ at the same power, or more than 40% power reduction at the same speed. This comparison is based on a TSMC internal ring oscillator benchmark circuit. For the Cortex-A57 test chip used to validate EDA tools, the result was a 19% speed increase at the same power, and a 38% power reduction at the same speed.

New features in 10FF include a unidirectional (1D) layout style and new local interconnect layer. These features help 10FF achieve a 2.1X logic density improvement over 16FF+, whereas normally TSMC gets about a 1.9X density boost for node migration, Woo said. In addition to the density improvement, the 1D Mx architecture can reduce CD (critical dimension) variation by 60%, she said.

And an already remarkable quote from April 12, 2015 TSMC Symposium: New Low-Power Process, Expanded R&D Will Drive Vast Innovation: TSMC Executive article in Cadence Communities Blog:

Hock Tan, CEO of Avago, described a symbiotic relationship between TSMC and his company that led to a super high-density switch for a networking customer, implemented in 16FF+. The switch has 96 ports, each running 100G Gbps, and drawing less than 2W each. That enables, in a next-generation data center, the tripling of a switch performance to more than 10 Tbps.

Moreover, according to the April 12, 2015 TSMC Symposium: New 16FFC and 28HPC+ Processes Target “Mainstream” Designers and Internet of Things (IoT) article from Cadence Communities Blog:

16FFC is a “compact” version of the 16nm FinFET+ (16FF+) process technology that is now in risk production at TSMC. It claims advantages in power, performance, and area compared to the existing 16FF+ process, along with easy migration from 16FF+. It can be used for ultra low-power IoT applications such as wearables, mobile, and consumer.

28HPC+ is an improved version of the 28HPC (High Performance Compact) process, which is itself a fairly recent development. Late last year 28HPC went into volume production, and it provides a 10% smaller die size and 30% power reduction compared to TSMC’s earlier 28LP process. 28HPC+ ups the ante by providing 15% faster speed at the same leakage, or 30-50% reduction in leakage at the same speed, compared to 28HPC.

TSMC also provided updates on other processes on its roadmap, which includes the following:

  • High Performance – 28HP, 28HPM, 20SoC, 16FF+
  • Mainstream – 28LP, 28HPC, 28HPC+, 16FFC
  • Ultra Low Power – 55ULP, 40ULP, 28ULP, 16FFC (16FFC is in both mainstream and low power categories)

In connection with that remember the September 29, 2014 announcement:
TSMC Launches Ultra-Low Power Technology Platform for IoT and Wearable Device Applications

TSMC (TWSE: 2330, NYSE: TSM) today announced the foundry segment’s first and most comprehensive ultra-low power technology platform aimed at a wide range of applications for the rapidly evolving Internet of Things (IoT) and wearable device markets that require a wide spectrum of technologies to best serve these diverse applications. In this platform, TSMC offers multiple processes to provide significant power reduction benefits for IoT and wearable products and a comprehensive design ecosystem to accelerate time-to-market for customers.

TSMC’s ultra-low power process lineup expands from the existing 0.18-micron extremely low leakage (0.18eLL) and 90-nanometer ultra low leakage (90uLL) nodes, and 16-nanometer FinFET technology, to new offerings of 55-nanometer ultra-low power (55ULP), 40ULP and 28ULP, which support processing speeds of up to 1.2GHz. The wide spectrum of ultra-low power processes from 0.18-micron to 16-nanometer FinFET is ideally suited for a variety of smart and power-efficient applications in the IoT and wearable device markets. Radio frequency and embedded Flash memory capabilities are also available in 0.18um to 40nm ultra-low power technologies, enabling system level integration for smaller form factors as well as facilitating wireless connections among IoT products.

Compared with their previous low power generations, TSMC’s ultra-low power processes can further reduce operating voltages by 20% to 30% to lower both active power and standby power consumption and enable significant increases in battery life — by 2X to 10X — when much smaller batteries are demanded in IoT/wearable applications.

“This is the first time in the industry that we offer a comprehensive platform to meet the demands and innovation for the versatile Internet of Things market where ultra-low power and ubiquitous connectivity are most critical,” said TSMC President and Co-CEO, Dr. Mark Liu. “Bringing such a wide spectrum of offerings to this emerging market demonstrates TSMC’s technology leadership and commitment to bring great value to our customers and enable design wins with competitive products.”

One valuable advantage offered by TSMC’s ultra-low power technology platform is that customers can leverage TSMC’s existing IP ecosystem through the Open Innovation Platform®. Designers can easily re-use IPs and libraries built on TSMC’s low-power processes for new ultra-low power designs to boost first-silicon success rates and to achieve fast time-to-market product introduction. Some early design engagements with customers using 55ULP, 40ULP and 28ULP nodes are scheduled in 2014 and risk productions are planned in 2015.

“TSMC’s new ultra-low power process technology not only reduces power for always-on devices, but enables the integration of radios and FLASH delivering a significant performance and efficiency gain for next-generation intelligent products,” said Dr. Dipesh Patel, executive vice president and general manager, physical design group, ARM. “Through a collaborative partnership that leverages the energy-efficient ARM® Cortex®-M and Cortex-A CPUs and TSMC’s new process technology platform, we can collectively deliver the ingredients for innovation that will drive the next wave of IoT, wearable, and other connected technologies.”

“Low power is the number one priority for Internet-of-Things and battery-operated mobile devices,” said Martin Lund, Senior Vice President and General Manager of the IP Group at Cadence. “TSMC’s new ULP technology platform coupled with Cadence’s low-power mixed-signal design flow and extensive IP portfolio will better meet the unique always-on, low-power requirements of IoT and other power sensitive devices worldwide.”

CSR has an unequalled reputation in Bluetooth technology and has been instrumental in its progression, including helping to write the Bluetooth Smart standard that is meeting the demands of today’s rapidly evolving consumer electronics market,” said Joep van Beurden, CEO at CSR. “For many years, CSR has closely collaborated with TSMC, and we are pleased to demonstrate the results of that collaboration with the adoption of the 40ULP platform for our next generation of Bluetooth Smart devices including products for markets like smart home, lighting and wearables that are enabling the growth of the Internet of Things. Our solutions simplify complex customer challenges and help speed their time to market by allowing them to design and deliver breakthrough low power wireless connected products on these powerful new platforms.”

“The imaging SoC solutions of Fujitsu Semiconductor Limited bring the best balance between high imaging quality and low power consumption, to meet the significant demand from our customers and the electronics market,” said Tom Miyake, Corporate Vice President, at System LSI Company of Fujitsu Semiconductor Limited. “We welcome that TSMC is adding the 28ULP technology to its successful 28nm platform. We believe this technology will provide our SoCs with the key feature: low power consumption at low cost.”

Nordic Semiconductor has been a pioneer and leader in ultra-low power wireless solutions since 2002, and with the launch of its nRF51 Series of Systems-on-Chip (SoCs) in 2012 the company established itself as a leading vendor of Bluetooth Smart wireless technology,” said Svenn-Tore Larsen, CEO of Nordic Semiconductor. “We have been collaborating closely with TSMC on the selection of process technology for our upcoming nRF52 Series of ultra-low power RF SoCs. I am happy to announce that we have selected the TSMC 55ULP platform. This process is a key enabler for us to push the envelope on power consumption, performance and level of integration of the nRF52 Series to meet the future requirements of Wearable and Internet of Things applications.”

“Built on TSMC’s Ultra-Low Power technology platform and comprehensive design ecosystem, Realtek’s Bluetooth Energy Efficient smart SoC, BEE, supports the latest Bluetooth 4.1 specification featuring Bluetooth Low Energy (BLE) and GATT-based profiles,” said Realtek Vice President and Spokesman, Yee-Wei Huang. “BEE’s power efficient architecture, low power RF, and embedded Flash are ideal both for the IoT and for wearable devices such as smart watches, sport wristbands, smart home automation, remote controls, beacon devices, and wireless charging devices.”

Silicon Labs welcomes TSMC’s ultra-low power initiative because it will enable a range of energy-friendly processing, sensing and connectivity technologies we are actively developing for the Internet of Things,” said Tyson Tuttle, Chief Executive Officer, Silicon Labs. “We look forward to continuing our successful collaboration with TSMC to bring our solutions to market.”

“Synopsys is fully aligned with TSMC on providing designers with a broad portfolio of high-quality IP for TSMC’s ultra-low power process technology and the Internet of Things applications,” said John Koeter, Vice President of Marketing for IP and Prototyping at Synopsys. “Our wide range of silicon-proven DesignWare® interface, embedded memory, logic library, processor, analog and subsystem IP solutions are already optimized to help designers meet the power, energy and area requirements of wearable device SoCs, enabling them to quickly deliver products to the market.”

As well as the ARM and Cadence Expand Collaboration for IoT and Wearable Device Applications Targeting TSMC’s Ultra-Low Power Technology Platform announcement of Sept 29, 2015:

ARM® and Cadence® today announced an expanded collaboration for IoT and wearable devices targeting TSMC’s ultra-low power technology platform. The collaboration will enable the rapid development of IoT and wearable devices by optimizing the system integration of ARM IP and Cadence’s integrated flow for mixed-signal design and verification, and their leading low-power design and verification flow.

The partnership will deliver reference designs and physical design knowledge to integrate ARM Cortex® processors, ARM CoreLink™ system IP, and ARM Artisan® physical IP along with RF/analog/mixed-signal IP and embedded flash in the Virtuoso®-VDI Mixed-Signal Open Access integrated flow for the new TSMC process technology offerings of 55ULP, 40ULP and 28ULP.

“TSMC’s new ULP technology platform is an important development in addressing the IoT’s low-power requirements,” stated Nimish Modi, senior vice president of Marketing and Business Development at Cadence. “Cadence’s low-power expertise and leadership in mixed-signal design and verification form the most complete solution for implementing IoT applications. These flows, optimized for ARM’s Cortex-M processors including the new Cortex-M7, will enable designers to develop and deliver new and creative IoT applications that take maximum advantage of ULP technologies.”

“The reduction in leakage of TSMC’s new ULP technology platform combined with the proven power-efficiency of Cortex-M processors will enable a vast range of devices to operate in ultra energy-constrained environments,” said Richard York, vice president of embedded segment marketing, ARM. “Our collaboration with Cadence enables designers to continue developing the most innovative IoT devices in the market.”

This new collaboration builds on existing multi-year programs to optimize performance, power and area (PPA) via Cadence’s digital, mixed-signal and verification flows and complementary IP alongside ARM Cortex-A processors and ARM POP™ IP targeting TSMC 40nm, 28nm, and 16nm FinFET process technologies. Similarly, the companies have been optimizing the solution based around the Cortex-M processors in mixed-signal SoCs targeting TSMC 65/55nm and larger geometry nodes. The joint Cortex-M7 Reference Methodology for TSMC 40LP is the latest example of this collaboration.

For the above keep in mind The TSMC Grand Alliance [TSMC, Dec 3, 2013]:

The TSMC Grand Alliance is one of the most powerful force for innovation in the semiconductor industry, bringing together our customers, EDA partners, IP partners, and key equipment and materials suppliers at a new, higher level of collaboration.

The objectives of the TSMC Grand Alliance are straightforward: to help our customers, the alliance members and ourselves win business and stay competitive.

We know collaboration works. We have seen it in the great strides our customers and ecosystem members have made through the Open Innovation Platform® where today there are 5,000 qualified IP macros and over 100 EDA tools that supports our customers’ innovation and helps them attain maximum value from TSMC’s technology.

Today Open Innovation Platform is an unmatchable design ecosystem and a key part of the Grand Alliance that will prove much more powerful. Looking at R&D investment alone, we calculate that TSMC and ten of our customers invest more in R&D than the top two semiconductor IDMs combined.

Through the Grand Alliance TSMC will relentlessly pursue our mission and collaborate with customers and partners. We need each other to be competitive. We need each other to win. Such is the power of the Grand Alliance.

[Some more information is in the very end of this post]

A related overview in Kicking off #ARMWearablesWK with an analysts view of the market post of November 17, 2014 of ARM Connected Community blog by David Blaza:

Today as we kickoff ARM Wearables Week we hear from Shane Walker of IHS who is their Wearables and Medical market expert.

Shane’s take on this market is that it’s for real this time (there was a brief Smartwatch wave a few years ago) and will continue to be a hot growth sector through 2015. One of the great benefits of talking with analysts like Shane is they help you think through what’s going on and bust a few myths that may have found their way into our thinking. For example I asked Shane what the barriers to growth were and he carefully and patiently pointed out that Wearables are growing at a 21% CAGR already and will hit $12b in device sales this year (without services, more on that later in the week).  So this is not an emerging or promising market, it’s here and growing at an impressive rate. By 2019 Shane’s estimate is that it will hit $33.5b in device sales and services are increasingly going to factor into the wearables experience (Big Data is coming!).

Shane breaks the Wearables market down to 5 major categories:

  1. Healthcare and Medical
  2. Fitness and Wellness
  3. Infotainment
  4. Industrial
  5. Military

I’m glad he did this for me because wearables are incredibly diverse and this week you are going to see some category defying products here such as smart Jewelry where does that fit?

Below you can see a table chart that Shane was willing to share that shows his estimate for market size and units sold, the main learning for me is how much of this market is healthcare related. Also attached below are details on what services IHS offer in the Wearables market or you can find them here.

futureICT - World Market for Wearable Technology - Revenue by Application -- IHS-November-2014

attached is: Wearable Technology Intelligence Service 2014.pdf  [IHS Technology, November 17, 2014]

Note the following table in that:
futureICT - Wearable Technology Data Coverage Areas by IHS

More information:
– A Guide to the $32b Wearables Market [IHS Technology, March 11, 2015]
– which has a free to download whitepaper:
Wearable Technology: The Small Revolutions is Making Big Waves

Brief retrospective on the SoC 1.0 Era

futureICT - Shipments of TSMC Advanced Technologies Q1'2009 - Q1'2015

Detailed Background from TSMC’s quaterly calls

Q1 2015:

Mark Liu – TSMC – President & Co-CEO
[update on new technology]

The continuous demand of more functionality and integration in smartphones drives for more silicon content. We expect smartphones will continue to drive our growth in the next several years.

In the meantime, we see IoT appears us — present us new growth opportunities. The proliferation of IoT not only will bring us growth in the sensor, connectivity and advanced packaging areas, the associated application and services, such as big data analytics, will also further our growth in the computation space, including application processor, network processor, image processor, graphic processor, microcontroller and other various processors. That was the long-term outlook.

I’ll update some of our 10-nanometer development progress. Our 10-nanometer technology development is progressing well. Our technology qualification remains in Q4 this year.

Recently we have successfully achieved fully functional yields of our 256-megabit SRAM. Currently we have more than 10 customers fully engaged with us on 10-nanometer. We still expect to have 10-nanometer volume ramp in fourth quarter 2016 and to contribute billing in early 2017.

This technology adopts our third-generation FinFET transistor and have scaling more than one generation. Its price is fully justified by its value for various applications, including application processor, baseband SoC, network processor, CPU and graphic processors. Its cost and price ratio will comply to our structural profitability considerations.

As for new technology development at TSMC, I’d like to start with — to update you our 7-nanometer development. We have started our 7-nanometer technology development program early last year. We also have rolled out our 7-nanometer design and technology collaboration activity with several of our major customers. Our 7-nanometer technology developments today are well in progress.

TSMC’s 7-nanometer technology will leverage most of the tools used in 10-nanometer, in the meantime achieve a new generation of technology value to our customers. The 7-nanometer technology risk production date is targeted at early 2017.

Now I would like to give you an update on EUV. We have been making steady progress on EUV. Both our development tools, we have two NXE 3300 have been upgraded to the configuration of 80 watt of EUV power, with an average wafer throughput of a few hundred wafers per day. We continue to work with ASML to improve tool stability and availability. We also are working with ASML and our partners on developing the infrastructure of EUV, such as masks and resists.

Although today the process on record of both 10-nanometers and 7-nanometer are on immersion tools, with innovative multiple patterning techniques, we will continue to look for opportunity to further reduce the wafer cost and simplify the process flow by inserting EUV layer in the process.

Now I’d like to give you an update of our recently announced ultra-low-power technologies. We have offered the industry’s most comprehensive ultra-low-power technology portfolio, ranging from 55-nanometer ULP, 40-nanometer ULP, 28-nanometer ULP, to the recently announced 16 FFC, a compact version of 16 FinFET Plus, enable continual reduction of operating voltage and power consumption. Today more than 30 product tape-outs planned in 2015 from more than 25 customers.

This 55- and 40-nanometer ULP will be the most cost-effective solution for low- to mid-performance wearable and IoT devices. The 28 ULP and 16 FFC will be the most power-efficient solution for high-performance IoT applications. In particular, our 16 FFC offers the ultra-low-power operation at a supply voltage of 0.55 volts, with higher performance than all of the FD-SOI technologies marketed today.

Lastly I’ll give you an update of our recent IoT specialty technology development. We have developed the world’s first 1.0-micron pixel size 16-megapixel CMOS image sensor, with stacked image signal processor, which was announced in March by our customer for the next-generation smartphone. Secondly, we continue to drive the best low resistance in BCD [Bipolar-CMOS-DMOS for DC-to-DC converter: together with Ultra-High-Voltage (UHV) technology for AC-to-DC converter—are the key to enable monolithic integrated PMIC design] technology roadmap, from 0.18 micron to 0.13 micron and from 8-inch to 12-inch production for wireless charging and fast wired charging of mobile devices. We continue to extend our 0.13 BCD technology from consumer and industrial applications to automotive-grade electrical system control applications.

Lastly, recently we have started production in foundry’s first 40-nanometer industrial embedded Flash technology that was started from November last year. And this technology recently passed automotive-grade qualification, that was in March, for engine control applications.

C.C. Wei – TSMC – President & Co-CEO

I will update you the 28-nanometer, 20 and 16 FinFET status and also our InFO business.

First, 28-nanometer. This is the fifth year since TSMC’s 28-nanometer entered mass production. 28-nanometer has been a very large and successful node for us. Our market segment share at this node has held up well and is in the mid-70s this year. We expect this to continue in year 2016. In comparison, this is better than what we had in the 40-nanometer node.

The demand for 28-nanometer is expected to grow this year due to the growth of mid- and low-end smartphones and as well as the second-wave segment, such as radio frequency, circuit product and the Flash controllers that migrate into this node.

However, due to some customers’ inventory adjustments, which we believe is only going to be for the short term, the demand for 28-nanometer in the second quarter will be lower than our previous quarter, resulting in 28-nanometer capacity utilization rate to be in the high-80s range. But we expect the utilization rate of the 28-nanometer to recover soon and to be above 90% in the second half of this year.

While we are in the mass production, we also continue to improve the performance of our technology. Last year we have introduced our 28-HPC, which is a compact version of 28-HPM. For the purpose of helping 64-bit CPU conversion for mid- to low-end market, this year we further improved the 28-HPC to 28-HPC Plus. For comparison, 28-HPC Plus will have 18% power consumption — lower power consumption at the same speed or 15% faster speed at the same kind of power.

As for the competitive position, we are confident that we will continue to lead in performance and yield. So far we do not see there is a very much effective capacity in High K metal gate at 28-nanometer outside TSMC. And since we have already shipped more than 3m 12-inch 28-nanometer wafers, the learning curve has given us an absolute advantage in cost.

Now let me move to our 20 SoC. TSMC remains the sole solution provider in foundry industry for 20-nanometer process. Our yield has been consistently good after a very successful ramp last year. But recently we have observed customers’ planned schedule for product migration from 20 nanometer to 16 FinFET started sooner than we forecasted three months ago.

As a result, even we continue to grow 20-nanometer business in the second quarter of this year, our earlier forecast of 20-nanometer contributing above 20% of total wafer revenue this year has to be revised down by a few points to a level about the mid teens. That being the case, we still forecast the revenue from 20-nanometer will more than double that of year 2014’s level.

Now 16 FinFET. The schedule for 16 FinFET high-volume production remains unchanged. We will begin ramping in the third quarter this year. And the ramp rate appeared be faster than we forecasted three months ago, thanks to the excellent yield learning that we can leverage our 20-nanometer experience and also due to a faster migration from 20-nanometer to 16 FinFET.

In addition to good yield, our 16 FinFET device performance also met all products’ specs due to our very good transistor engineering. So we believe our 16 FinFET will be a very long-life node due to its good performance and the right cost. This is very similar to our 28-nanometer node.

We are highly confident that our 16 FinFET is very competitive. As we’ve said repeatedly, combining 20-nanometer and 16-nanometer, we will have the largest foundry share in year 2015. And if we only look at 16-nanometer alone, we still can say TSMC will have the largest 16- or 14-nanometer foundry share in year 2016.

Now let me move to our InFO business update. The schedule to ramp up the InFO in second quarter next year remains unchanged. We expect InFO will contribute more than $100m quarterly revenue by next year, fourth quarter next year, when it will be fully ramped.

Right now we are building a new facility in Longtan, that’s a city very near to Hsinchu, where our headquarters are, for ramping up InFO. Today a small product line is almost complete and it’s ready for early engineering experiment. This pilot line will be expanded to accommodate the high-volume ramp in year 2016.

Andrew Lu – Barclays – Analyst

… I think Mark presented at the Technology Symposium in San Jose mentioned that 16 FinFET versus competing technology is about 10% performance better. So can you elaborate what’s 10% performance better? If our die size is larger than our competitors, how can we get the 10% performance better?

Mark Liu – TSMC – President & Co-CEO

In the conference we talked about 16 FinFET Plus. That is our second-generation FinFET transistor. In that we improved our transistor performance a great deal. According to our information, that transistor speed, talk about speed at fixed power, is higher than the competitor by 10%. That’s what I meant. …  Because of the transistor structure, transistor engineering.

Andrew Lu – Barclays – Analyst

Compared to competing — is the competing the current competitor’s solution or the next-generation competitor’s solution? For example, LPE versus LPP or something like that?
Mark Liu – TSMC – President & Co-CEO
The fastest one. The fastest.
Andrew Lu – Barclays – Analyst
Their best one?
Mark Liu – TSMC – President & Co-CEO
Yes.

Dan Heyler – BofA Merrill Lynch – Analyst

My second question is relating to 20-nanometer. Here you certainly have a lot of growth in 16, with customers taping out aggressively, especially next year. Given your high share at 28, how do you keep 28 full? You obviously have a lot of technology there. Customers will move forward.

So I’m wondering, could you elaborate on new areas that are actually creating new demand at 28, such that you can continue to grow 28 next year. And do you think you can grow? I think previously you said maybe hold it at current levels even with 16 growing. So just maybe revisit that question.

C.C. Wei – TSMC – President & Co-CEO

To answer the question, I think the high-end smartphone will move to 16 FinFET. However, the mid- to — and lower-end smartphones will stay in the 28-nanometer because that’s very cost effective. And mid- and low-end smartphone continues to grow significantly. So that will give a very strong demand on 28-nanometer. In addition, we still have a second-wave product, like RF and Flash controller, as I use as an example, move into 28-nanometer.

So summing it up, I think the 28-nanometer’s demand continue to grow while we move into the 16 FinFET for high-end smartphone.

Michael Chou – Deutsche Bank – Analyst

As Mark has highlighted your EUV program, Does that imply you may consider using EUV in the second stage of your 16-nanometer — 10-nanometer ramp-up, potentially in 2018 or 2019? 

Mark Liu – TSMC – President & Co-CEO

Yes, we always look for opportunity to insert EUV in both 10-nanometer and 7-nanometer. The EUV technology provides not only some cost benefit, but also simplify the process. That means you can replace multiple layers with one layer that helps your yield improvement. So there’s opportunity both in quality and cost always exist so long as EUV’s productivity comes to the threshold point.

And in — as you noticed on 10-nanometer, our capacity build will largely done in 2016 and 2017. So 2018 will be inserted, if inserted, will be combined with some other tools upgrade, some tool upgrade to 7, for example, and replaced by the EUV tools. In that node it will not be a fresh capacity build with EUV at that time because that’s a little bit late in the schedule for the 10.

7-nanometer, of course it will be higher probability adopting EUV. And the benefit will be bigger because the 7-nanometer has a lot of multiple layers, quadruple, even multiple patterning layers, thus EUV can be more effective in reducing the cost and improve the yield, for example. So that’s our current status.

But today EUV is still in the engineering mode. The productivity, as you heard, will still have some gaps for practical insertion of the technology. So we’re still working on that, in that mode. And we have — although we have one-day performance up to 1,000 wafer per day, but I was talking about average still a few hundreds. And we need to get to more than 1,000 to consider a schedule to put it into the production.

Randy Abrams – Credit Suisse – Analyst

As you go to fourth quarter, how broad is the customer base? Is it a single key product or are you seeing broadening out of 16 FinFET as you ramp that in fourth quarter?

Mark Liu – TSMC – President & Co-CEO

… As for the second half, we think, first of all, the inventory adjustment will largely complete towards the end of second quarter.

We think the end market of smartphone is still healthy growth this year. Therefore the second half will resume the growth. And, more importantly, our 16 FinFET technology will start to ramp in the second half. So that will contribute a lot of growth, more than the 20-nanometer shipment reduction. So those two factors.

Roland Shu – Citigroup – Analyst

My first question is on given the fast ramp of 16-nanometer, so are we going to see meaningful revenue contribution for 16 in 3Q?

C.C. Wei – TSMC – President & Co-CEO

We ramp up in third quarter this year, but it’s many layers of process, plus about one month is back-end. So in 3Q we expect just the revenue just very minimum.

Bill Lu – Morgan Stanley – Analyst

This is a follow-up to Randy’s question. But I’m going to go over some numbers with you first before I ask the question, which is we did the math. I don’t think these are exactly right. But over the last five years we’ve got IDM zero growth, fabless 8%, but system houses above 20%, right. So system houses, I’m excluding memory, just the system LSI, the logic portion. I think that might be slightly conservative.

Now that’s a pretty big change. And I’m wondering how you should think about that, how you should — if you look at TSMC addressing the system houses versus the fabless customers, if you look at, for example, your market share, if you look at your margin for the system houses versus the fabless, how do you think about that?

Mark Liu – TSMC – President & Co-CEO

Yes. Indeed, in the past five years the system houses sourcing and foundry business to us has a much higher growth rate, as you quoted. But remember, that came from a very small base. Okay? But we welcome system house sourcing because we consider them are fabless too, fabless companies, the companies without fabs, bring business to us.

It’s not necessarily the margin has to do with what type of company sourced. It has to do with our value to that company and also the size, the size of the business. If the business is bigger, of course the — we probably can enjoy a slightly — a little bit better price. So it depends on the size of the business, less dependent on what company, system company or non-system company’s business.

Steven Pelayo – HSBC – Analyst

For the last three years or so, TSMC’s been growing 20%, 30% year-on-year revenue growth rates. First quarter 50% year on year. But to Bill’s question there, it does look like in the second half of the year, if I play around with your full-year guidance and what you’re doing, low single-digit year-on-year growth rates. And if we exclude maybe 16-nanometer, above 16-nanometer, maybe it’s flat to down. Is that the new industry? What are we talking now for industry growth rates for both the semi industry and in the foundry market this year?

90 days ago you suggested the semi market was going to grow 5% this year with foundries growing 12%. In light of your new guidance, in light of what it looks like you’re going to have very slight year-on-year growth rates in the second half of the year, what do you think that means for the overall industry?

Mark Liu – TSMC – President & Co-CEO

We think the semiconductor growth this year currently is indeed we adjusted down from 5% earlier to 4% at this time. Yes. We think it’s really due to the macroeconomic situation around the world today. And therefore the foundry market — foundry growth rate will adjusted down too. We are looking at about 10% range. So that’s why we revised our view on the current semiconductor growth.

Brett Simpson – Arete Research – Analyst

My question on 10-nanometer, I know it’s still 18 months away from ramp-up, but can you talk about how fast this ramp might scale relative to 20-nanometer or 28-nanometer?

And as you ramp up 10-nanometer for high-end smartphones, would you expect low-end smartphones to start migration from 28 with 16 FinFET in 2017?
Elizabeth Sun – TSMC – Director of Corporate Communications
… Your question seems to say that if we ramp 10-nanometer in the future, which will be targeting the high-end smartphone, will the low-end smartphone be migrating from 28-nanometer into 16-nanometers.
Brett Simpson – Arete Research – Analyst
And  just to add to that, Elizabeth, how quickly will 10-nanometer scale up relative to the scaling of 20-nanometer — the ramp-up of 20-nanometer and 28? Will it be as fast?
Elizabeth Sun – TSMC – Director of Corporate Communications
So the profile of the 10-nanometer ramp, will that be steeper than the profile of the 20 or the 28-nanometer?

Mark Liu – TSMC – President & Co-CEO

Okay. The first part of the question has to do with 10-nanometer ramp for the high-end smartphone, will the mid/low-end move to 16? I think we — this is up to our customers’ product portfolio. We definitely know a lot of customer is looking at 28-nanometer to use — to do as the low end. But the specification, the smartphone processor specification changes constantly. So what portion of that product will move to 16-nanometer? We think definitely there are some portion, but how a big portion really depends on their product strategy.

On the 10-nanometer ramp, I wouldn’t say it’s bigger. But at least it’s similar scale of our ramp as we do in 16 and as we do in 20.

Brett Simpson – Arete Research – Analyst Great.

Thank you. And let me just have a follow-up here. There’s been a lot of talk in the industry about one of your larger customers [Qualcomm] planning to introduce a new application processor on both Samsung’s 14-nanometer process as well as your 16 FinFET for the same chip later this year. And we haven’t really seen a single chip get taped out on two new processors at the same time before in the industry. So my question, how does this really work between the two foundries? Does it mean that that one customer can adjust dynamically, month to month, how they allocate wafers between you and Samsung? Or am I — or how might this work?
Elizabeth Sun – TSMC – Director of Corporate Communications … So your question seems to say that there is a customer that appeared to be working with two different foundries on the 14 and 16-nanometer node. And the products are about to arrive. You would like to understand how this customer will be allocating month by month the — what’s the production or the orders with both of the two foundries. Is that your question?
Brett Simpson – Arete Research – Analyst
Yes, that’s right. Whether they can move around dynamically how they allocate wafers. That’s right.

C.C. Wei – TSMC – President & Co-CEO

Well my answer is very typical. Our 16 FinFET is really very competitive. And we did not know that customer going to — how they’re going to allocate. I cannot even make any comment on that.

Gokul Hariharan – JPMorgan – Analyst

First of all on 16-nanometer, since Dr. Wei mentioned that next year a lot of demand on entry-level to mid-end smartphone is still going to stay at 28-nanometer, could you talk about your visibility for second-wave demand for 16-nanometer? 

What is the visibility that you have? Is it going to be really strong? Because you mentioned that a lot of the cost-sensitive customers would still stay on 28, at least for next year.

C.C. Wei – TSMC – President & Co-CEO

For 28-nanometer I said mid to low end this year that, and next year probably, that smartphone will stay in 28-nanometer because it’s very cost-effective and performance-wise is very good. For 16 FinFET I think that people will start to move with their product plan and some of the mid-end smartphone will move into 16-nanometer. That’s for sure.

In addition to that, we also see improving our 16 FinFET ultra-lower-power Mark just mentioned. And that will have a lot of application. And every product, lower power consumption is one of that advantage.

And so that would be our second wave of 16 FinFET.

Dan Heyler – BofA Merrill Lynch – Analyst

… So on 16, this FinFET Compact which is getting introduced, when would we expect to see that in volume production?

C.C. Wei – TSMC – President & Co-CEO

FFC? That will be ready next year. And we expect that high-volume production starts probably two years later. That’s year 2017. 2018 will reach the high volume.

Dan Heyler – BofA Merrill Lynch – Analyst

Okay. So is there a — so the cost-down version for mid-end phones FinFET that you alluded to, plus low power, when is that available?

C.C. Wei – TSMC – President & Co-CEO

Probably in 2017 second half.

Q4’2014:

Lora Ho – Taiwan Semiconductor Manufacturing Company Ltd – SVP and CFO

During the fourth quarter, the strong 20-nanometer ramp was mainly driven by communication-related applications. As a result, communication grew 18% sequentially and the revenue contribution increased from 59% in the third quarter to 65% in the fourth quarter. As for other applications, computer grew 7%, while consumer and industrial declined 21% and 11% respectively.

On a full-year basis, communication increased 39% and represented 59% of our revenue. The major contributing segments included baseband, application processors, image processors and display drivers. Another fast-growing application in 2014 was industrial and standard, which grew 30% year over year. The growth was mainly driven by increasing usage of power management ICs, near-field communications and audio codec within the mobile devices.

By technology, 20-nanometer revenue contribution started with a very small number in the second quarter, jumped to 9% in the third quarter and reached 21% in the fourth quarter. Such unprecedented ramp cannot be achieved without seamless teamwork with our customer, the R&D and operational people in TSMC.

On a full-year basis, 20 nanometer accounted for about 9% of our full-year wafer revenue. Looking forward, we are confident that 20 nanometer will continue its momentum to contribute 20% of the revenue for the whole year 2015.

Meanwhile, customer demand for our 28-nanometer wafers remained strong. Accordingly, these two advanced technologies, 20 nanometer plus 28 nanometer, represented 51% of our fourth-quarter total wafer revenue, a big increase from 43% in the third quarter.

Mark Liu – Taiwan Semiconductor Manufacturing Company Ltd – President and Co-CEO

Now I’ll give you a few words on 10-nanometer development update. Our 10-nanometer technology development is progressing and our qualification schedule at the end of 2015, end of this year, remains the same. We are now working with customers for their product tape-outs. We expect its volume production in 2017.

On the new technology development in TSMC, I’ll begin with beyond 10 nanometer I just talked about. We are now working on our future-generation platform technology development, with separate dedicated R&D development teams. These technologies will be offered in the 2017-to-2019 period. We are committed to push forward our technology envelope along the silicon scaling path.

In addition to the silicon device scaling, we are also working on the system scaling through advanced packaging to increase system bandwidth, to decrease power consumption and device form factors. Our first-generation InFO technology has been qualified. Currently we are qualifying customer InFO products with 16-nanometer technology. And it will be ready for volume ramp next year, 2016. We are now working on our second-generation InFO technology to supplement the silicon scaling of 10-nanometer generation.

On the other side, in addition to the recently announced 55ULP ultra-low power technology, 40ULP, 28ULP technologies for ultra-low power application, such as wearable and IoT, we are also working on 16ULP technology development. This 16ULP design kit will be available in June this year. It will be just suitable for both high-performance and ultra-low power or ultra-low voltage, less than 0.6-volt applications.

C.C. Wei – Taiwan Semiconductor Manufacturing Company Ltd – President and Co-CEO

Good afternoon, ladies and gentlemen. I’ll update you on 28, 20, 16-nanometer status and the InFO business.

First on 28 nanometer. Since year 2011, we started to ramp up 28-nanometer production. Up to now we have enjoyed a big success in terms of a good manufacturing result and, most importantly, the strong demand from our customers. This year we expect the success will continue.

Let me give a little bit more detail, first on the demand side. The demand continues to grow, which are driven by the strong growth of mid- and low-end 4G smartphones, as well as the technology migration from some second-wave segments, such as the radio frequency, hard disk drive, flash controller, connectivity and digital consumers.

Second, on the technology improvement, we continue our effort to enhance 28-nanometer technology by improving the speed performance while reducing the power consumption. 28HPC, 28 ultra-low power technology are some examples.

So to conclude the 28-nanometer status, we believe we can defend our segment share well because of excellent performance and performance/cost ratio and our superior defect density results.

Let’s talk about the 20 SoC business status. After successfully ramp up in high volume last year, we expect to grow 20-nanometer business more than double this year due to high-end mobile device demand, which were generated by our customers’ very competitive products. Our forecast of the 20-nanometer business, as Lora just pointed out, will contribute 20% of the total wafer revenue. That remains unchanged.

Now on 16-nanometer ramp-up. We expect to have more than 50 product tape-outs this year on 16-nanometer. High-volume production will start in third quarter, with meaningful revenue contribution starting in fourth quarter this year. In order to stress again what our Chairman already mentioned, that combining 20 nanometer and 16 nanometer we expect to enjoy overwhelming market segment share.

Last, I will update on the InFO business. The traction on InFO is strong. We have engaged with many customers. And a few of these customers are expected to ramp up in second quarter next year. Right now we are building a small pilot line in a new site to prepare for high-volume production next year. Also we expect this InFO technology will contribute sizeable revenue in 2016.

Dan Heyler – BofA Merrill Lynch – Analyst

…. I guess as we look at your pie chart on your slide with communications and computer being amazingly only 9% of your revenue, and, say, 10 years ago that chart was much, much different, with computer being the biggest. As we look at computer opportunities going forward, I think to some extent there’s maybe a sense of a little bit of disappointment in that we don’t see ARM necessarily in PCs yet. We haven’t really necessarily seen that ecosystem come through in the server business. And big data being such an important trend going forward, with compute growing about 15% per year, I’m wondering what TSMC is doing or what your view of that opportunity will be in the future as a potential growth driver.

Morris Chang – Taiwan Semiconductor Manufacturing Company Ltd – Chairman

Server is one of them, Mark. Well there’s IoT actually also, and just don’t forget that mobile actually we think has a few more years to run yet. Really the TSMC silicon content in the average phone is actually increasing, which is something that is not recognized by a lot of people, because everybody says that the weight, the gravity is shifting to the middle level, lower-level priced phones. But according to our data, and we have kept track of it for quite a long time, the average of TSMC silicon content in the average phone is actually increasing.

So — and look, we still look for over — I think the number we have is that by 2019 there’ll be 2b phones manufactured. It is — I think last year it was, what, 1.3b? I think, yes, 1.3b. 1.3b to 2b. And, well, and the average TSMC silicon content per phone is increasing. And the number of phones is going up. So that’s by no means a — it’s still there. It’s still a growth engine.

And then IoT, I think we talked about IoT before, and now we are certainly not oblivious to the server possibility. So why don’t I ask Mark to talk about the server and maybe C.C. will talk a little about the IoT.

Mark Liu – Taiwan Semiconductor Manufacturing Company Ltd – President and Co-CEO

Okay, Dan. I’ll just respond to you on the server part. Chairman talked about the area we’re mostly focused on, phone, today. And that would drive — give us growth momentum in the next several years.

On server, we work with the product innovators around the world. And such a field definitely we’ll not lose in our radar screen and theirs. And TSMC has been, over the years, developed our technology to suit for high-power computing.

And from 65, 40, 28 to 16 nanometer, we continuously improve our transistor performance. And today we believe our 16 FinFET Plus transistor performance probably is the top of — is one of the top of the world. It’s well suitable, well capable of doing the computing tasks.

And actually before server, and there are several supercomputers around the world, in US and in Japan, already powered by our technology, doing the weather forecasting, whether the geo exploration applications today. And on the server, on ARM in particular, we have very close partnership with ARM in recent years. And ARM is a very innovative company. They produce CPU core and new architecture every year. And we reached our leading-edge technology very early with ARM and to design their leading-edge CPU cores. And that will continue and several of our customers are taking advantage of that.

Yes, in the past it’s been getting into slower as expected. That’s because the software ecosystem is slower to come. And — but actually a lot of the server companies, system company is continuing investing in this ecosystem. Linux-based ecosystem is coming very strong too. So I think the trend will continue. And we will, with our customers, get into these segments in the next — in the near future. Yes.

C.C. Wei – Taiwan Semiconductor Manufacturing Company Ltd – President and Co-CEO

For the IoT, that would be a big topic right now in the whole industry. All I want to say is that we are happy to share with you that, a long time ago, we already focused on our specialty technology, which are the CMOS image sensor, MEMs, embedded Flash, all those kind of things. Today we add another new technology, ultra-low power, into it. And that will be the basis for the IoT technology necessary in the future. We believe that when the time comes and IoT business becomes big, TSMC will be in a very good position to capture most of the business. That’s what I share with you. Thank you.

Randy Abrams – Credit Suisse – Analyst

… And the follow-up question on profitability. If you could give a flavor on structural profitability for 2015 and some of the flavor for 20, how quick that may get to corporate margins, and for 16, because it’s an extension, whether that could be near corporate margins as that comes up. And if you could give a comment on the inventory at current levels, if there’s any — if that will stay at these higher levels from the WIP you’ve been building or if that may come back down to a different level.

Lora Ho – Taiwan Semiconductor Manufacturing Company Ltd – SVP and CFO

Randy, you have multiple questions. I recall you asked for the structural profitability. That’s you first question, right? From what we can see now, we are quite confident we can maintain equal or slightly better structural profitability, standard gross margin versus 2014.

For the 20-nanometer and 16-nanometer ramping, how would that affect corporate margin? I have said in last July it usually takes seven or eight quarters for any new leading-edge technology to get close to the corporate average. So for 20 nanometer, it will take eight quarters. So we believe — so 20 nanometer start to sell in second quarter 2014, and we expect by first quarter 2016, that’s eight quarters, it will be at corporate average level.

For 16, we are going to mass produce this product. It will follow the similar trend. 16 nanometer will be based on the feature of 20 nanometer, so the margin will start to be higher. But it will also follow the similar trend. It takes seven quarters to reach to corporate average. So say we plan to mass produce 16 FinFET in third quarter 2015, so by first quarter 2017 you will get close to corporate average. So there will — before that there will be still small dilutions. For this year, the dilution will be 2 to 3 percentage points. And the last year, the second half will be 3 to 4 percentage points and very low in 2016.

Donald Lu – Goldman Sachs – Analyst

… Chairman, about six months ago you gave us a comment on your estimate on TSMC’s market share in FinFET in 2015, 2016, 2017. So has that changed?

Morris Chang – Taiwan Semiconductor Manufacturing Company Ltd – Chairman

… Donald’s question was I said — actually I looked up my statement at that time, July 16 of last year. I said on the subject of 16 and 20, 16-nanometer and 20-nanometer technology, I said that — I actually made three statements.

The first statement was that because we started 16 a little late, our market share in 2015, our 16-nanometer market share in 2015 will be smaller than our major largest competitor’s.

The second statement I made was that we started 16 late because we wanted to do 20. And so if you combine 20 and 16, our major competitor, who will be slightly ahead of us this year on the 16, he has very little 20. Almost no 20 at all. And if we combine 20 and 16, our combined share in this year will be much higher than that competitor’s.

The third statement I made is that in 2016 we will have much larger share in just 16 nanometer than that competitor.

All right. First I want to say that I, at this time, stand on those statements. In fact, I now will add a couple of statements. The statements I will add are — that’s fourth statement now. Okay? When we have a larger share of just 16 alone in 2016, the 16 market will also be much larger than this year, 2015. So, yes, we’re slightly behind. We have a smaller market share in 2015 in a smaller market. Next year we will have a larger share, in fact much larger share, in a much larger market, 16.

So — and another statement I want to make is that I’m, at this point, very, very comfortable with all those statements that I have made on July 16 last year and the statements that I have added today. I’m very comfortable. I don’t know whether I answered your question or not, Donald.

Donald Lu – Goldman Sachs – Analyst

Yes. How about 2017, if –?

Morris Chang – Taiwan Semiconductor Manufacturing Company Ltd – Chairman

What? Well, 2017, the share is going to continue. We’re not going to lose the leadership on 16 market share once we recapture that in 2016. It’s going to continue 2017, 2018. And also both 20 and 16 are going to live longer than you might think now. Well 28, for that matter, will also live longer than you’d think.

Michael Chou – Deutsche Bank – Analyst

… Can we say your 16-nanometer market share in 2016 will be quite similar to your dominance in 28 nanometer, given that your 20 nanometer is the only provider? So the apple-to-apple comparison should be 28 to 16 nanometer.
Elizabeth Sun – Taiwan Semiconductor Manufacturing Company Ltd – Director of Corporate Communications
So market share in 16 nanometer in 2016, will that be the same as our market share at 28 nanometer, I would say, back in 2013, 2014?
Michael Chou – Deutsche Bank – Analyst Yes

Morris Chang – Taiwan Semiconductor Manufacturing Company Ltd – Chairman

Well, no, I don’t think so, because 28, of course we were virtually sole source. And 16, we already know we’re not. There’s at least one major competitor and then there’s another one that’s just eager to get in. I don’t mean that first competitor’s accessory, I mean another one.

Brett Simpson – Arete Research – Analyst

My question is around 28 nanometer. You’re running a large capacity at 28 nanometer at the moment. So can you share with us what your capacity plan is for 28? As you migrate more business to 20 nanometer and below over the next couple of years, do you intend to convert 28-nanometer capacity to lower nodes, or do you think you can keep the existing 28-nanometer capacity running full going forward.
Elizabeth Sun – Taiwan Semiconductor Manufacturing Company Ltd – Director of Corporate Communications
All right. Let me repeat Brett’s question so that people here can hear it better. Brett’s question is TSMC’s 28-nanometer capacity is very large. As our technology migrates to more advanced nodes, such as 20 and 16, in the next few years, what will be our plan on capacity of the 28 nanometer? Will we still have large demand to utilize those capacities or we need to do some changes?

Morris Chang – Taiwan Semiconductor Manufacturing Company Ltd – Chairman

Every — in every generation we worry a lot about the conversion loss we will suffer when we convert the equipment of that — the existing capacity of that generation to the capacity of the next generation. Now, so we do two things. First, we try to minimize that conversion loss. And since we’ve been living with the problem for so long now, I think we’re getting to be pretty good at it. So the conversion loss from one generation to another is normally in the low single digit, low middle single digit. Now the second thing we try to do is, and I think we actually have been doing it perhaps even more successfully than the first thing. The first thing was to try to minimize the conversion loss. The second thing we try to do is we try to prolong the life of each generation. And I was saying just five minutes ago that I think that the life of 28 nanometer may be longer than a lot of people think. And I mean it. Actually we’re still making half-micron stuff. And we try to prolong the life of every generation as we continue to migrate to advanced technologies. And 28 is certainly a generation that we want to prolong the life of.

Bill Lu – Morgan Stanley – Analyst

My first question is on 28 nanometers. If I look at your capacity this year versus 2014, how much is the increase in capacity?

Morris Chang – Taiwan Semiconductor Manufacturing Company Ltd – Chairman

High teens. High teens actually.

Gokul Hariharan – JPMorgan – Analyst

… First, I had a question on there’s been a lot of controversy about cost per transistor, whether Moore’s law — the economics of Moore’s law are slowing down. Your competitor Intel has put out a very emphatic statement saying that until 7 nanometer they’re seeing that continuing at the same pace as before. But there has been a lot of noise from the fabless community in the last couple of years that at 20 nanometer or at 16 nanometer there is a potential slowdown.

Could we have TSMC’s version now that you’re pretty much ready to start 10 nanometer and thinking already about 7? That’s my first question.
Elizabeth Sun – Taiwan Semiconductor Manufacturing Company Ltd – Director of Corporate Communications
So, all right. Let me repeat. Gokul, your question is mainly on the comments on cost per transistor. Some of the other players, I think you’re referring to Intel, who has made comments that they do see the cost per transistor to continue into 7 nanometer and so they can handle the economics of the Moore’s law. Whereas, on the other hand, fabless companies begin to complain about not seeing enough economics, starting with 20 nanometer. So what is TSMC’s statement regarding this economics issue?

Mark Liu – Taiwan Semiconductor Manufacturing Company Ltd – President and Co-CEO

Let me answer this question. The cost of transistor continues to go down. And by scaling mostly is — everybody knows, nobody I think has refused that statement — we see the cost of transistor continues going down in a constant rate. And in going forward, the cost of transistor going down probably at slightly slower rate. That’s the argument. But it really depends on companies. And for some companies simply do not have the technological capabilities. And today, further going down the Moore’s Law technology developments, just a few. And we — as far as whether those costs can — is — can get enough returns, and of course that has to do with how much that technology brings value to the product where they command the price. And today we see certain segments will continue to need that type of system performance to get enough return. So this is the reason we committed to push the system scaling.

Roland Shu – Citigroup – Analyst

Just a 10-nanometer question to C.C. Since, C.C., you said we are expecting to volume production of 10-nanometer in 2017. But I remember in the past two quarters actually our goal was to pulling in 10-nanometer mass production by end of 2016. So are we pushing out the 10-nanometer mass production schedule a little bit on that?

C.C. Wei – Taiwan Semiconductor Manufacturing Company Ltd – President and Co-CEO

Let me explain that, because 10 nanometer, the mask layers is about 70 to 80. So you’ve got to start in 2016 to have output in 2017. So what I’m talking about is 2017 is to start to have revenue.

Q3 2014:

Lora Ho – TSMC – SVP & CFO

By technology, after two years of meticulous preparation we began volume shipments of 20-nanometer wafers. The revenue contribution went up from 0% to 9% of the third quarter wafer revenue. This is the fastest and the most successful ramp for a new technology in TSMC’s history.

Mark Liu – TSMC – Co-CEO


On 10-nanometer development, our 10-nanometer development is progressing according to plan. Currently we are working on early customer collaboration for product tape-outs in 4Q of 2015. The risk production date remain targeted at the end of 2015.

Our goal is to enable our customers’ production in 2016. To meet this goal, we are getting our 10-nanometer design ecosystem ready now. We have completed certification of over 35 EDA tools using ARM’s CPU core as the vehicle. In addition, we have started the IP validation process six months earlier than previous nodes with our IP partners.

We are working with over 10 customers on their 10-nanometer product design. The product plans show wide range of applications, including application processors, baseband, CPU, server, graphics, network processor, FPGA and game console. Our 10-nanometer will achieve industry-leading speed, power and gate density.

C.C. Wei – TSMC – Co-CEO


Next, I’ll talk about the 16-nanometer ramp and competitive status. In 16-nanometer, we have two versions, 16 FinFET and the 16 FinFET Plus.

FinFET Plus has better performance and has been adopted by most of our customers. 16 FinFET we began the risk production in November last year and since then have passed all the reliability qual early this year. For the FinFET Plus, we also passed the first stage of the qualification on October 7 and since then entered the risk production. The full qualification, including the technology and product qual, is expected to be completed next month.

So right now we have more than 1,000 engineers working on ramp up for the FinFET Plus. On the yield learning side, the progress is much better than our original plan. This is because the 16-nanometer uses similar process to 20 SOC, except for the transistor. And since 20 SOC has been in mass production with a good yield, our 16 FinFET can leverage the yield learning from 20 SOC and enjoy a good and smooth progress. So we are happy to say that 16-nanometer has achieved the best technology maturity at the same corresponding stage as compared to all TSMC’s previous nodes.

In addition to the process technologies, our 16 FinFET design ecosystem is ready also. It supports 43 EDA tools and greater than 700 process design kits with more than 100 IPs. All these are silicon validated. We believe this is the biggest ecosystem in the industry today.

On the performance side, compared with the 20 SOC, 16 FinFET is greater than 40% speed faster than the 20 SOC at the same total power or consumes less than 50% power at the same speed. So our data shows that in high-speed applications it can run up to 2.3 gigahertz. Or on the other hand, for low-power applications it consumes as low as 75 miniwatts per core.

This kind of a performance will give our customer a lot of flexibility to optimize their design for different market applications. So far we expect to have close to 60 tape-outs by the end of next year.

In summary, because of the excellent progress in yield learning and readiness in manufacturing maturity and also to meet customers’ demand, we plan to pull in 16-nanometer volume production through the end of Q2 next year or early Q3 year 2015. The yield performance and smooth progress of our 16 FinFET, FinFET Plus further validate our strategy of starting 20 SOC first, quickly follow with the 16 FinFET and FinFET Plus. We chose this sequence to maximize our market share in the 20-, 16-nanometer generation.

Next, I’ll talk about 28-nanometer status. We had strong growth in second quarter on 28-nanometer. And the business grew another quarter and accounted for 34% of TSMC’s wafer revenue in third quarter. On the technology side, we continue our effort to improve yield and tighten the process corners, so that our customer can take advantage of these activities and shrink their die size and therefore reduce the cost.

Let me give you an example. On 28LP, the polysilicon gate version, we now offer a variety of enhanced processes to achieve better performance. We also offer a very competitive cost so that our customers can address the mid- to low-end smartphone market. In addition to the 28LP, we also provide a cost-effective high-K metal gate version, the 28HPC for customers to further optimize the performance and the cost. Recently, we added another 28-nanometer offering we called 28 Ultra Low Power, for ultra low power applications obviously. We believe this 28ULP will help TSMC customers to expand their business into the IoT area.

In summary, we expect our technology span in 28-nanometer node will enhance TSMC’s competitiveness and ensure a good market share. We also expect the strength of the demand for our 28-nanometer will continue for multi years to come. In response, we are preparing sufficient capacities to meet our customers’ future demand.

Q2 2014:


Morris Chang – TSMC – Chairman

Now a few words on 20-nanometer and 16-nanometer progress. In the last two and half to three years, 28-nanometer technology has driven our growth. In the next three years, 20 and 16-nanometer technologies are going to drive our growth; 28 in the last two and half to three, 20 and 16 in the next three.

After two years of meticulous preparation, we began volume shipments of our 20-nanometer wafers in June. The steepness of our 20-nanometer ramp sets a record. We expect 20-nanometer to generate about 10% of our wafer revenue in the third quarter and more than 20% of our wafer revenue in the fourth quarter. And we expect the demand for 20-nanometer will remain strong and will continue to contribute more than 20% of our wafer revenue in 2015. It will reach 20% of our total wafer revenue in the fourth quarter of this year and it will be above 20% of our total wafer revenue next year.

The 16-nanometer development leverages off 20-SoC learning and is moving forward smoothly. Our 16-nanometer is more than competitive, combining performance, density and yields considerations. 16-nanometer applications cover a wide range including baseband, application processors, consumer SoCs, GPU, network processors, hard disk drive, FPGA, servers and CPUs. Volume production of 16-nanometer is expected to begin in late 2015 and there will be a fast ramp up in 2016. The ecosystem for 16-nanometer designs is current and ready.

A few years ago, in order to take advantage of special market opportunities, we chose to develop 20-SoC first and then quickly follow with 16-nanometer. We chose this sequence to maximize our market share in the 20/16-nanometer generation. As the 20/16 foundry competition unfolds, we believe our decision to have been correct.

Number one, in 20-SoC, we believe we will enjoy overwhelmingly large share in 2014, 2015 and onwards.

Number two, in 16-nanometer, TSMC will have a smaller market share than a major competitor in 2015. But we’ll regain leading share in 2016, 2017 and onwards.

Number three, if you look at the combined 20 and 16 technologies, TSMC will have an overwhelming leading share every year from 2014 on.

Number four, in total foundry market share, after having jumped 4 percentage points in 2013, TSMC will again gain several percentage points in 2014. This is the total foundry market share covering all technologies. After having increased 4 percentage points last year, TSMC will gain another several percentage points this year.

Now a few words about 10-nanometer. The 10-nanometer development is progressing well. The 10-nanometer speed is 25% faster than the 16-nanometer. The power consumption is 45% less than 16-nanometer and the gate density is 2.2x that of the 16-nanometer. Power is 25% faster. Did I say power? I meant speed. Speed is 25% faster, power is 45% less, gate density 2.2 times more, all compared with 16-nanometer.

We work closely with our key customers to co-optimize our 10-nanometer process and design. We expect to have customer tape outs in the second half of 2015.

William Dong – UBS – Analyst

Good afternoon Mr. Chairman. I guess — we keep talking about technology. I guess the question I want to ask is that with all this rush to continue to push down technology roadmap, to go down to 16, to 14 and to 10 nanometer, what are our thoughts about what’s driving this demand? As we move toward, for example, Internet of Things, is there such a requirement to keep pushing on the technology front to actually have enough, sufficient demand to keep driving it down?

Morris Chang – TSMC – Chairman

Well, if the cost is low enough — cost is very much a part of the equation. If the cost is low enough, the demand will increase because we can see a lot of applications that are just waiting there. Of course I’m talking about the mobile products, but I’m also talking about Internet of Things, so wearables and so on, so on, Internet of Things. The applications are just waiting there for better, for faster speed and lower power and higher density ICs. Cost is definitely in the equation.

So, yes, when you ask will the demand be there. If we can get the cost down to an acceptable level, demand will be there. And of course that’s why — that’s how things like EUV come into the question. Nobody has asked about that yet. We actually were prepared to answer that with the same answer that we gave you last time, by the way, that we are still planning to — there’s still a possibility to use EUV on one, one or two — or just one layer in the 10 nanometer, yes. One layer, one layer in 10 nanometer and 7 I think is, of course, an even better candidate.

Dan Heyler – BofA-Merrill Lynch – Analyst

Hopefully this question simplifies and doesn’t complicate things. Just to make sure I understand this share loss thing, so basically what you’re saying is the share loss at 16, these are customers that are choosing to skip 20? Is that how should I think of this that these are not any — are any of these customers that are currently 20 that are going to 16 next year or is this all people that are choosing to skip 20?

Morris Chang – TSMC – Chairman

Well, first of all, I want to question the word share loss. I don’t consider there is share loss because just like 32/28 we had zero share in 32. But then we were very successful in 28. The two really belong to the same generation. And 20 and 16 also belong to the same generation. So, yes — and share loss means that you start with something and then you lose it, it becomes less. Well, this year nobody has — everybody has zero share, okay. And I am just saying that we will start on 16, we will start with a lower share than we did with 20 or 28. We start with a lower share than we did with 20 or 28. And then we’ll get back to a high share in 2016. I’m just arguing with him, but he did have a question; what was that?

Dan Heyler – BofA-Merrill Lynch – Analyst

Or just simply are your — are these customers moving to 16, are these the ones that have currently been on 20 or are these the guys that have skipped because the debate in the industry is should we go straight to 16 and skip 20. So are these customers that have basically been at 28 and are skipping 20 and going straight to 14 at your competitor?

Morris Chang – TSMC – Chairman

Mainly because our customers wanted it sooner. We got in a little late, as I said; our customers wanted it sooner. So that’s why we’re starting — and we’ll catch up only a little later.

Michael Chou – Deutsche Bank – Analyst

Chairman, regarding the 16/20 nanometer, could we say your total market share in 16 and 20 nanometer will be similar to 28/32 for the corresponding period? Can we say that?


Morris Chang – TSMC – Chairman

The combined 20 — I just ran an analysis just a couple of weeks ago, so I know exactly the answer to your question. The combined 20/16 market share in the first two years of its existence, which is this year and next year — well, I guess I have to add in 2016 — the combined — our combined 20/16 share in 2014, 2015 and 2016 will still be greater than our combined share of 32 and 28 in 2012, 2013 and 2014.

Q1 2014:


Mark Liu – Taiwan Semiconductor Manufacturing Company Ltd – President & Co-CEO

Then I cover the updates on 16 FinFET, 16 FinFET plus and our 10 FinFET. First, we have two general offers for customers, 16 FinFET and 16 FinFET plus. 16 FinFET plus offers 15% speed improvement, the same total power, compared to 16 FinFET. More importantly, 16 FinFET plus offers 30% total power reduction at the same speed, compared to 16 FinFET.

Our 16 FinFET plusmatches the highest performance among all available 16-nanometer and 14-nanometer technologies in the market today. Compared to our own 20 SoC, 16 FinFET plus offers 40% speed improvement. The design rules of 16 FinFET and 16 FinFET plus are the same; IPs are compatible.

We will receive our first customer product tapeout this month. About 15 products planned for 2014, another about 45 in 2015. Volume production is planned in 2015. Since 95% tools of 16 and 20 are common, we will ramp them in the same gigafabs in TSMC. 16 FinFET yield learning curve is very steep today and has already caught up with 20 SoC. This is a unique advantage in TSMC 16-nanometer.

For 10 FinFET, 10 FinFET offer TSMC’s third generation FinFET transistor, designed to meet the power and the performance requirement of mobile computing devices. 10 FinFET will offer greater than 25% speed improvement, the same total power, compared to 16 FinFET plus. More importantly, 10 FinFET offer greater than 45% total power reduction at the same speed, compared to 16 FinFET plus.

10 FinFET will offer 2.2X of density improvement over its previous generation, 16 FinFET plus. So, currently, 10 FinFET development progress is well on track, but risk production will be in 4Q 2015. Above are the key messages on three items.

C.C. Wei – Taiwan Semiconductor Manufacturing Company Ltd – President & Co-CEO

…  I would like to take this opportunity to share with you the two topics with you; namely, the 20 SoC ramp and TSMC’s advance assembly solution to our customer. First, I will brief you on the status of 20 SoC ramp.

Let me recap what we had said in the last meeting here. We started 20 SoC production in January this year and by fourth quarter of this year, the 20 SoC will account for 20% of the quarterly revenue — wafer revenue. And for the whole year of 2014 we expect 20 SoC will be about 10% of our total wafer revenue of the year of 2014, of course. All these expectations remain the same today.

Now, there are some major achievement I would like to share with you. First, on the ramping speed. 20 SoC by far is the fastest ramping in TSMC’s history. Of course, this fast ramp is to meet customers’ strong demand. And I believe this production of 20 SoC in TSMC represents one of the largest mobilization in semiconductor history. Let me share with some numbers, so you can have a snapshot on this ramp.

In about one year’s time we have built a manufacturing team of 4,600 engineers and 2,000 operators in two fabs; Fab 14 in Tainan and Fab 12 in Hsinchu. More impressively, in the same time period, close to one thousand engineer has been relocated among TSMC’s fabs in Hsinchu, Taichung and Tainan. All these are prepared for the 20 SoC’s ramp-up. This magnitude of mobilization, I believe, is not an easy job. We move people around that show our strength in manufacturing and this highly mobilization is not moving the tool or just a handful around. We’re talking about we’re moving the engineer and operator among TSMC’s fabs. In the meanwhile, we have installed more than 1,500 major tools for this 20 SoC ramp.

Of course, the faster ramp has done with a very good device reliability and a very good wafer defect density. Without those, the fast ramp will make no sense. Now how important are these 20 SoC ramp? Well we knew that 28 nanometer provided the engine of TSMC’s profitable growth in the years of 2012 and 2013 and similarly, we expect 20 SoC will provide the engine of TSMC’s profitable growth in year 2014 and 2015.

Now let me switch gear to advanced assembly technologies. The purpose of — for us to develop advanced assembly technology is to provide our customer a better performance and a lower power consumption, while at a lower cost as compared to the previous assembly solution. For example, we have developed CoWoS and CoWoS has been developed to connect two dies or more dies together to have a very high performance and a very low power consumption and today CoWoS is in a small volume production already. However, the cost structure of CoWoS has made CoWoS only suitable for some very high performance applications and the products. To address the cost structure issue and for those mobile — very large volume mobile devices, we have developed a derivative technology called InFO; that stands for integrated fan-out.

InFO will have significant lower cost as compared to CoWoS and at the same time, InFO also can have the same capability to connect multiple dies together just as the CoWoS did. Currently, we’re working with major customers and the InFO, to incorporate this structure into their future product. We have delivered many functional dies to our customers already and the process optimization are ongoing.

In fact, we are very excited about TSMC’s advanced assembly technology development as we’re building a innovative solution for our customers product, which requires high performance, lower power consumption and at a very reasonable cost structure.

Michael Chou – Deutsche Bank – Analyst

I don’t know, C.C. Wei, could you give us more color on the advanced packaging you just mentioned. What’s the difference between this one and CoWoS?

C.C. Wei – Taiwan Semiconductor Manufacturing Company Ltd – President & Co-CEO

The difference between the InFO and the CoWoS is actually the geometry to connect multi-dies together. In the CoWoS, actually we are using very small geometry, actually 65 nanometers of geometry to connect the multi-dies together. In InFO, we’re using the larger geometry, which are still technical confidential information. But the cost is much, much lower.

Brett Samson – Arete Research – Analyst

Just had a quick question. Can you give us a sense within the 28 nanometer nodes, how does that split between poly-SiON and high-K and how do you think this might trend through this year?
Elizabeth Sun – Taiwan Semiconductor Manufacturing Company Ltd – Director, Corporate Communications
So Brett’s question is what is really the mix between poly-SiON, that is our 28 LP, versus our high-k metal gate and what is going to be the trend with respect to that kind of mix throughout this year?

Mark Liu – Taiwan Semiconductor Manufacturing Company Ltd – President & Co-CEO

Allow me to answer that. Our 28 nanometer high-k metal gate has three options, 28HP, 28HPM and 28HPC. And this year these 28 high-k metal gate technology will be about 85% of the overall 28 nanometer in terms of the wafer.

Dan Heyler – Bank of America Merrill Lynch – Analyst

… I want to follow up on this InFO, this is quite interesting. Could you just maybe elaborate a bit more on what exactly are you going to be attaching, so which devices are we talking about in terms of what – with CoWoS it was pretty much PLD [Programmable Logic Devices, like Altera] companies were there and others, some baseband. So what devices are you attaching on the initial generation between the different chips? And second part of that question would be what kind of — how many customers do you expect to manage to have in this area, because you start peddling lots of devices and lots of customers it gets really complicated, you start to look more like an OSAT [Outsourced Semiconductor Assembly and Test]. So I wonder if this is going to be a pretty small group of high volume products? And finally on — as you attach — are you actually doing a chip attach or will you be doing only the wafer level activity and will you be having — working with the OSATs to do the actual chip attach?

C.C. Wei – Taiwan Semiconductor Manufacturing Company Ltd – President & Co-CEO

Dan, to answer your question, the InFO actually we’re right now working on application processor together with memory dies. That’s good enough for you. I cannot say anything more than that. We’re working with mobile product customers and we did not — we expect very high volume, but we did not with many, many customers as current status. We’re working on the wafer level process, stacking die, and couple of them, we’re able to do the complete line all here.

Q4 2013:


Morris Chang – Taiwan Semiconductor Manufacturing Co., Ltd. – Chairman

Good afternoon, ladies and gentlemen. Today, our comments are scheduled as on the slide on your left. First, I’m very glad to have the opportunity to introduce our new top management team.

I’d first start with Lora, although I think everyone knows Lora well. Lora has a bachelor’s degree from Chengchi University, a master’s degree from National Taiwan University, both degrees in finance. She worked for Cyanamid, Wyse, Thomas & Betts and TI-Acer before she joined TSMC in 1999. And she has been TSMC’s CFO since 2003.

Next, Dr. C. C. Wei. C. C. has a bachelor’s degree from Chiao Tung University and a Ph. D. from Yale University, both in electrical engineering. C. C. worked for TI, SGS, Chartered before joining TSMC in 1998. C. C. has been Senior VP of Operations, Senior VP of Business Development, Co-COO, and in the Co-COO job CC was successively responsible for R&D and Operations. Now C.C. is President and Co-CEO.

C.C. is 60 years old and I should add that Lora is 57 years old.

Mark Liu; Mark has a B.S. from National Taiwan University and a Ph. D. from Berkeley, both in electrical engineering and computer science. Mark worked for Intel, Bell Telephone Labs before joining TSMC in 1993. And at TSMC he has been VP, Senior VP of Operations and he was also a Co-COO, and all the time he was Co-COO he was responsible for our sales, marketing and planning.

And now Mark and C.C. are Presidents and Co-CEOs of the Company. Mark is 59 years old.

C.C. Wei – Taiwan Semiconductor Manufacturing Co., Ltd. – President & Co-CEO
[about the technology aspects of TSMC’s growth engine]

Good afternoon everybody. I am C.C. Wei and I will give you the update of our 28-nanometer high-K metal gate version. Let me recap the history. We started 28-nanometers volume production in year 2011 mainly on the 28LP, the oxynitride version. And since then the business continued to grow. So last year, we had tripled 28-nanometers of business versus year 2012. That in this year, year 2014, the business for 28-nanometer will continue to grow at least by another 20%, and all the increase are coming from the 28-nanometers high-K metal gate version, which is we name it 28HPM.

Let me add more color to it. We expect we’re going to have about more than 100 tape-outs from about 60 customers in this year in 28HPM. Now you may ask it why? Why there are so many products that were designed on this technology? One of the main reason I can give it to you is the performance, the superior performance. For example, 28 HPM compare with the 28LP that will gain another 30% of the speed at the same kind of power consumption, or you can say that at the same power consumption — at the same speed, you will consume 15% less power. And everybody knows that the power consumption in the mobile device is very important. That’s why we think we have a very high, good business on the 28 HPM.

Now, furthermore, after the 28HPM, we also offer 28HPC, which is a low-cost version of the 28HPM. The 28HPC is developed to meet the customers’ demand to compete in the mid-to-low-end smartphone market. We expect that this 28HPC will have a very strong demand in the next two years. That’s what we have.

Okay, let me give you some information on the competition to explain why we are so confident on this 28 nanometers high-K metal gate business. If you still remember that long time ago, we mentioned about gate-first and gate-last. Still remember that terminology? All right. So, simply to say that gate-last version will give you better performance and a better process control. As a result, all our customers will enjoy using the gate-last versions that technology to have a higher or better performance than other products which are designed with a different approach.

In addition to that I’ll say that because of the better process control and TSMC’s manufacturing excellence, we have a much better yield than our competitor, so that our customer will enjoy the lower die cost. That’s what we have. And that’s why we explained that our confidence that the 28 nanometers business continue a very good business for us.

Now, let me switch the gear to 20-SoC. That’s another exciting news that we have, I want to share with you. 20-SoC is a technology that we developed to enable TSMC’s customer to lead in the mobile device market. And this technology we are believe in this year, next year, well I have a very good business to capture. So, what is the status now of the 20-SoC? We have two fab, Fab 12 and Fab 14 that complete the qual of 20-SoC. And as a matter of fact, we started production. We are in volume production as we speak right now. So, it’s in the high-volume production as we are speaking right now.

Let me add more information to that. First, there are more than $10 billion had been committed to build capacity. Second, we have more than 2,500 engineers and 1,500 operators right now in manufacturing, doing the 20SoC volume production. The ramping rate will be the fastest one in TSMC’s history. Using the ramping rate, you can get the hint of the business, how big the business is.

Another fact to share with you, we have probably — at the end of this year, we have more than dozens of tape-out from about a dozen customers that they are producing the 20SoC product, okay? You may ask, good business, how about the competition? If you have a very strong competition, you might — cannot have too much of confidence on the future. Let me talk about the competition.

I’m very confident that our 20SoC is the highest gate density in volume production at 20 nanometers node. And please remember that; highest gate density and a high volume production. I don’t see any company today can claim on this kind of production and with this kind of gate density at this time, nobody. And most of our competitors, to be frank with you, they’re not even into this game yet. So we are confident to have a good business that will contribute to TSMC’s revenue — wafer revenue by probably around 10% this year. And with that I conclude my presentation and thank you for your attendance.

Mark Liu – Taiwan Semiconductor Manufacturing Co., Ltd. – President & Co-CEO
[about TSMC’s competitiveness versus Intel and Samsung]

I will start this topic by update you our recent development status of our 16-FinFET technology. 16-FinFET technology has been a very fast paced development work in TSMC and we have achieved the risk production milestone of 16-FinFET in November 2013, November last year. And this month, we should pass the 1,000 hours so-called the technology qualification. So the technology is ready for customer product tape-out.

Our 16-FinFET yield improvement has been ahead of our plan. This is because we have been leveraging the yield learning of 20SoC. Currently 16-FinFET SRAM yield is already close to 20SoC. And with this status we are developing an enhanced transistor version of 16-FinFET plus, with 15% performance improvement. It will be the highest performance technology among all available 16 and 14 nanometer technology in 2014. The above progress status is well ahead of Samsung.

Let me comment on the Intel’s recent graph shown in their investor meetings, showing on the screen. We usually do not comment on other company’s’ technology, but this is — because this has been talking about TSMC technology and as Chairman said, has been misleading. To me it’s erroneous, based on outdated data. So I like to make the following rebuttal.

futureICT - 2013--Intel Is Committed to Press Ahead on Density - Enables a 'Transistor Like' Lead in Density

2013: Intel Is Committed to Press Ahead on Density – Enables a “Transistor Like” Lead in Density

futureICT - Jan-2014--Density Comparison by TSMC vs Intel 2013 statement

January 14, 2014: Density Comparison by TSMC vs. Intel’s 2013 statement at its Investor Meeting

On this view graph, the vertical axis is the chip area on a log scale. Basically this is compared at chip area reduction. On the horizontal axis, it shows four different technologies; 32/28, 22/20, 14/16-FinFET and 10-nanometer. 32 is Intel technology and 28 is TSMC technology. So is the following three nodes; the smaller number 20, but on 14-FinFET is Intel, 16-FinFET is the TSMC. On the view graph shown at Intel investor meeting, it is with the grey plots showing here. The grey plots shows the 32 and 20 nanometer, TSMC is ahead of the area scaling, but however, with 16, the data, grey data shows a little bit uptick. And following the same slope, go down to the 10 nanometer. What’s the correct data we show on the red line, that’s our current TSMC data. The 16, we have been volume production on 20 nanometer, as C.C. just mentioned, this is the highest density technology in production today.

We took the approach of significantly using the FinFET transistor to improve the transistor performance on top of the similar back-end technology of our 20 nanometer. Therefore, we leveraged the volume experience into volume production this year, to be able to immediately go down to 16 volume production next year, within one year. And this transistor performance and innovative layout methodology can improve the chip size by about 15%. This is because the driving of the transistor is much stronger, so that you don’t need such a big area to deliver the same driving circuitries.

And for the 10 nanometer, we haven’t announced it, but we did communicate with many of our customers that that will be the aggressive scaling of technology we’re doing. And so, in the summary, our 10 FinFET technology will be qualified by the end of 2015. 10 FinFET transistor will be our third generation FinFET transistor. This technology will come with industry’s leading performance and density. So, I want to leave this slide by 16 FinFET scaling is much better than Intel said, but still a little bit behind Intel.

However, the real competition is between our customer’s product and Intel’s product or Samsung’s product. TSMC’s Grand Alliance; that is the alliance of us, our customers, EDA, IP, communities and our supplier is the largest and the only open technology platform for the widest range of product innovations in the industry today. As for the tape-out of our 16 FinFET, more than 20 customer product tape-outs on 16 FinFET technology is scheduled this year already. They include wide range of applications; baseband, application processors, application processor SoCs, graphics, networking, hard disk drive, field programmable array, CPUs and servers. Our 16 FinFET technology captured the vast portion of products in the semiconductor industry.

We’ve been actively working with our customer’s designer on this since last year. TSMC’s speed and productization of the customer’s product and our ability to execute for a short time-to-market for a customer are far superior than Intel and Samsung.

Lastly, I would comment on the mobile products. With this 16 FinFET technology and the innovations of processor architecture and various IP from our customers, we are confident that this planned, 16 FinFET mobile product, which is going to tape out to us, will be better than Samsung’s 14 nanometer and better than Intel’s 14 SoC. Thank you very much.

Roland Shu – Citigroup Global Markets – Analyst

… Is the 16-plus is improving from the design you were saying or this is just for the performance enhancement or are we going to consider to change our 16-plus to — even to the — same as the 14-nanometer? …

Mark Liu – Taiwan Semiconductor Manufacturing Co., Ltd. – President & Co-CEO

16 FinFET-plus is a transistor enhancement. For the design — back-end design rule are similar to 16 FinFET, therefore designer can design on 16 FinFET and re-characterize, upgrade their product performance. This transistor, as I mentioned, also can reduce the cell size, standard cell size, and with the enhanced performance transistor. That’s the way to reduce the chip size. So we do not intend to change the naming. I mean this is engineering, this is the word — this is the name that we chose earlier based on the physical consistent number and we do not intend to change name.

Randy Abrams – Credit Suisse – Analyst

My first question on the management structure now with the Co-COOs promoted to Co-CEOs. If you could talk about how the responsibilities would change with their promotion to Co-CEO? And for yourself, Dr. Chairman, how will your activities change versus before this move? So if you could talk about the roles for each of the different Co-CEOs and yourself now.

Morris Chang – Taiwan Semiconductor Manufacturing Co., Ltd. – Chairman

We started with President and the Co-CEO in November, and it has been now two months. And if you ask me now, has my life changed in the last two months? My answer is no. It has not changed. But I think that my effort, my time has been spent more on the coaching aspects. I think that — I do believe that I do more coaching. If I spend 100 hours and — I now perhaps spend 20 hours of the 100 hours on coaching, whereas in the past, I’d probably spend only 5 or 10 hours of the 100 hours on coaching.

Now, actually, this is an overseas call, is this correct? Yes. So let me just explain very briefly what the Taiwan law and customs are in relation to a Chairman’s authority and responsibility. Basically, by both law and custom, the Chairman of a company has the ultimate authority and responsibility, basically. However, he may delegate his authority and responsibility to the President. He may also take it back anytime. He can delegate any and all, any or all of the responsibilities to the President. And now these two gentlemen, their titles is President and co-CEO. President comes first. They are, in a very legal sense, Presidents. Now the co-CEO is basically a Western term. And then in the United States, a CEO usually bears the final ultimate responsibility and authority as a Chairman in Taiwan does. In the US, it’s the CEO. Now — so my role in the future is really to convert these two gentlemen from the Taiwan sense President to the US sense CEO, and it will be a gradual process.

Donald Lu – Goldman Sachs – Analyst So Chairman, (spoken in foreign language).

First question is, I want to ask the Chairman, how would you — are you satisfied with the transition so far and also, how the two Presidents would share their work? Are they still rotating or not? And (multiple speakers) but probably not now. And maybe give us some details about how the Company is run. And I have a follow-up question on competition.

Morris Chang – Taiwan Semiconductor Manufacturing Co., Ltd. – Chairman

All right. I am quite satisfied with the transition. And these two gentlemen; Mark is now responsible for sales, marketing, strategic planning, business development, and yes, information technology and materials management, all those. And C.C. is responsible for operations, all the operations, and he is also responsible for specialty technology R&D. Specialty technology incidentally accounts for 25% of our total business. So now, Donald, your other question is whether they’re going to rotate. My plan currently is, I don’t plan it that way, I don’t plan it that way right now. However, I deem it’s a pretty flexible thing. Tomorrow, I may take one part of Mark’s and give it to C.C. or vice versa. But I’m not considering rotation, per se. Yes, does that answer your first question?

Donald Lu – Goldman Sachs – Analyst

… Okay, since we are already doing it, why don’t you give us more color? 16-nanometer, for example, are we saying that in terms of die size, performance, our product will be very similar to Intel’s 40-nanometer FinFET? And also, Mark commented that for the FinFET tape-outs, specifically there’s a CPU and server chips, and can we say that TSMC’s CPU and server chips will have the similar physical performance as Intel’s products today?

Morris Chang – Taiwan Semiconductor Manufacturing Co., Ltd. – Chairman

Well, I think, Donald, we have already given everybody enough information on our 16-FinFET. I think that if we keep giving more, we would be helping our competitors who have picked on us. And so, now, we do stand on what we said. We are going to — our Grand Alliance will out-compete Intel and Samsung. Our Grand Alliance on the 16-FinFET will out-compete. By that I don’t mean that we’ll completely exclude them, no, no, no. We can’t do it. We won’t be able to do that. But our Grand Alliance, with us as foundry supplier, will capture a large share of the 16-nanometer. You agree with that don’t you?

Mark Liu – Taiwan Semiconductor Manufacturing Co., Ltd. – President & Co-CEO

The fabless companies in China are very aggressive approaching leading-edge technologies. To tell you, our 16-FinFET this year, already some of the fabless companies will be using it in tape-outs. So, I think all those fabless companies’ subsidy will propel them into the leading-edge technology more.

July 20, 2013: TSMC takes on rivals with Grand Alliance strategy, says Chang [Global Data Point] by TMC News

(Global Data Point Via Acquire Media NewsEdge) Taiwan Semiconductor Manufacturing Company (TSMC) chairman and CEO Morris Chang, at a July 18 investors conference, talked about the importance of the foundry’s close ties with customers and ecosystem partners, and described how TSMC has formed a “Grand Alliance” with EDA, IP, software IP, systems software and design services providers.

TSMC has been competitive against fellow pure-play foundries, said Chang. In the face of rising competition from IDMs, TSMC with its ability to deliver cutting-edge technologies and advanced manufacturing capacity is also able to outshine the rivals, Chang indicated.

With the industry moving towards sub-20nm technologies, Chang believes that TSMC will become more capable of fending off rivals like Samsung Electronics and Intel. “Now in this new era of competition, the competition is not between foundries. It is not between foundries and IDMs. It is between ‘Grand Alliances’ and IDMs,” Chang pointed out.

Chang named ARM, Imagination, Cadence and Mentor as some of TSMC’s IP and EDA partners.

TSMC’s so-called “Grand Alliance” seems like an expansion of its Open Innovation Platform (OIP), which was announced in 2008. TSMC’s OIP is a business strategy aiming to provide integrated services from design to manufacturing to testing and packaging. According to TSMC, the platform is to bring together the thinking of customers and partners under the common goal of shortening design time, minimizing time-to-volume and speeding time-to-market.

In addition, Chang noted that TSMC’s 28nm process technology is on track to triple in wafer sales in 2013. TSMC made 29% of its NT$155.89 billion (US$5.18 billion) revenues from selling 28nm chips in the second quarter of 2013.

Chang also reiterated TSMC’s plans that 20nm technology will begin volume production in early 2014, followed by volume production of 16nm FinFETs within one year.

Centaur Technology: Do the same job that an Intel processor can do, but doing it less expensively, with a much smaller group and Glenn Henry in charge

An October 11, 2014 teaser video (what might be behind see: Can VIA Technologies save the mobile computing future of the x86 (x64) legacy platform?). Glenn Henry on Wikipedia.

Their previous teaser was Coming very soon from Centaur Technology: A Leap Ahead in Chip Design [this same blog, Oct 9, 2014]

Coming very soon from Centaur Technology: A Leap Ahead in Chip Design

An October 8, 2014 teaser video (what might be behind see: Can VIA Technologies save the mobile computing future of the x86 (x64) legacy platform?)

64-bit ARM (ARMv8-A) outlook: full smartphone penetration by 2018, volume start in servers next year, plus strong presence in enterprise networking

Previous ‘Experiencing the Cloud’ posts on the subject:

From: ARM Holdings plc, Q1 2014 Roadshow Slides [April 22, 2014]

Licensing Drives Market Share

ARM gains share by winning designs at leading semiconductor companies:

image
image
image

image
    • imageWith choice of suppliers, OEMs are innovating with new types of products
      • ARM technology can be used for applications processing, connectivity and storage
      • Standard software is available today and enables all form factors to connect to the internet and display all the web pages, play videos, network with friends …

Mobile computers include handheld computers, tablets, and laptops

image

Assumptions in Smartphones
– 100% penetration of Cortex-A processors
– 100% penetration of big.LITTLE in mid-range and premium
– 30% to 50% penetration of Mali graphics

image

ARMv8-A Opportunity:

image

image

The first quarter of 2014 saw particularly strong uptake of ARM’s most advanced ARMv8 processor technology with five licenses signed by four semiconductor companies. These customers are planning to develop chips for automotive infotainment systems, carrier networks and high performance computing. During the quarter we saw announcements from Marvell, Mediatek and Qualcomm on how they are developing multicore ARMv8 based processors for use in mid-range and premium smartphones and tablets. There were also announcements from Broadcom and Freescale, they plan to deploy ARMv8 based chips into data centers and enterprise networking equipment. ARMv8 is now the computing platform of choice for future chip designs not just in mobile computing but increasingly in consumer electronics, the data center and networking infrastructure.

We have had very strong licensing as you’ve seen in the numbers here and we have seen a number of exciting products announcements from some of our licensees. At Mobile World Congress recently we saw three key announcements from Qualcomm, from Marvell, from Mediatek talking about ARMv8 based chips for mid-range and high-end smartphones and tablets. Now those devices will take time to conclude, they will take time to get into products, take time to ship. But I think we’re in good track in generally in terms of the deployment of those version 8 of the architecture.

I think it’s worth pointing out that the v8 licensing cycle is in its relatively early stages. We have done sort of 30 licenses plus [out of the total 43 at the moment] compared with well over a 100 in v7.

ARM Progress in Servers:

image

I don’t have the exact numbers on the top of my head but certainly there were more architecture licenses earlier in the lifetime of v8 than there were in v7, that was driven more about the addressing different markets. So most of the early architecture licensees for v8 in fact all the architecture licensees for v8 have been looking at markets that hasn’t traditionally served with our own base products and when it gets to market very early as some of the early guys took an architecture license, companies like Cavium, companies like Applied Micro, who really wanted to target the enterprise space, the data center, high end networking which wasn’t where ARM had traditionally played and that was a vehicle to enable them to get into that market using ARM technology. So that’s been a great vehicle for us because it has allowed us to broaden the penetration of the ARM architecture into new markets and we see that as part of our strategy for long term growth.

image
In terms of SBSA [ARM Server Base System Architecture covering operating systems from Linux to Microsoft] the main purpose of that work was to accelerate the deployment of SoCs into the data center. The great beauty of our model is that every customer of ours can design a chip that’s different from any other customer and when it comes to enterprise software though there is great benefit in having some of the system architecture that is actually not differentiating, standardized, so it’s easier for software developers to write code that’s going to run on these chips. So SBSA was all about standardizing the right points of the chip to accelerate software development and hence accelerate deployment of real systems. So it’s less so about SoC development as it was about software development. We have seen the uptake of SBSA in the SoC architecture by a number of our licensees. Those chips are coming to market now and with a more clearly defined target architecture for software developers the work to we should see more on deployments in ARM based service sooner. But that’s what that’s all about.

Re: Can you give us an update on the server market? Where are you in terms of the ecosystem? And roughly by when do you think we can see commercial shipments of ARM-based servers? Is that something we can see before the end of this year, or is that likely to be more a 2015 phenomenon?

image

So let me just briefly talk about servers, I think progress there is good. We’re starting to see silicon devices, we’re seeing a lot of effort go into software development for ARM based servers. I mean recently as an example we just saw Oracle introduce Java SE, which brings Java to many ARM-based devices and that’s very important technology for servers but again SBSA as a vehicle for accelerating software development, it is also very important and I think we will start to see commercial deployments later this year. I have been saying that sometime I still think that’s on track to happen and we will start to see volume start to take off I think probably next year but I do expect to see commercial deployment this year.

Re: … enterprise networking … since that’s quite a wide market, which goes from low-end stuff, like network interface cards, all the way up to base stations, routers, et cetera., the growth that you’re seeing, where is it coming from? …

ARM in Enterprise Networking:

image

image

On enterprise networking you mentioned there is a whole wide range of end markets that could be targeted and where are we seeing success. It really is across the range, I mean we have been in routers for a long time, more kind of commercial grade. We’re starting to see use of ARM is switches, in base stations, big base stations, small base stations. It really is across the board and in that enterprise space that is something that’s very positive for our blended average royalty rate and we’re seeing effects of that. I mean a lot of the bigger chips that I was saying are using multiple-cores. There are large numbers of Cortex-A15 is being used for example in some of the bigger chips today and that obviously has a positive impact on the royalty rate per chip on average but again given the volumes this is one of those things where every little helps and makes a small change.

image

image

ARM for the Datacenter – Ian Drew [Open Compute Project YouTube channel, Jan 31, 2014]

OCP Summit V – January 29, 2014 – San Jose Convention Center, San Jose, California ARM for the Datacenter – Ian Drew, Chief Marketing Officer, ARM

ARMv8-A Licensee Fact Sheet [April 23, 2014]

ARMv8-A

  • Over 25 companies have licensed ARMv8-A technology
  • Over 40 licenses signed for ARMv8-A technology
  • Qualcomm, NVIDIA, Mediatek and Marvell have announced ARMv8-A chips for mobile devices
  • LG, Rockchip and Samsung have stated their intentions to release ARMv8-A chips for mobile
  • The first 64-bit mobile devices were based on ARM and shipped in 2013
  • ARMv8-A 64-bit kernel and tools are available today

ARMv8-A Public Licensees

  • Altera Altera’s FPGA with an embedded Cortex-A53 processor will be manufactured on Intel 14nm process.
  • AMD AMD’s Opteron A1100 server chips comes in two variants: 4x or 8x Cortex-A57 processors.
  • AMCC AMCC’s X-Gene server chip will feature in HP Moonshot systems this year.
  • Broadcom Broadcom will release a 3Ghz 16nm ARMv8-A chip optimized for Network Function Virtualisation.
  • Cavium Project Thunder SOCs will target the cloud and datacenter markets.
  • Huawei Lead partner on Cortex-A57
  • LG Lead partner on Cortex-A50 family and next-generation Mali GPUs. For LG devices.
  • Marvell Armada PXA 1928 contains a quad-core Cortex-A53 with integrated LTE modem. Sampling Mar 2014.
  • Mediatek MT6732 contains quad-core Cortex-A53 and Mali-T760.
  • Nvidia The 64-bit Tegra K1 contains a dual-core ARMv8-A processor. Mobile and automotive.
  • Rockchip Licensed Cortex-A57 and Cortex-A53 processors. Mobile internet and smart home markets.
  • Samsung Samsung has said its first 64-bit chip for mobile devices will be based on an ARM-designed processor.
  • STMicro The Sti8K range of SOCs for the Digital Home is based on Cortex-A53 and Cortex-A57 technology.
  • Qualcomm Snapdragon 410, 610 and 810 chips contain Cortex-A50 processors; the 810 uses big and little cores.

Accelerating ARMv8-A Powered Server Adoption Through Collaborative Platform Standardization (SBSA) [Jeff Underhill in Smart and Connected Blog of ARM, Jan 29, 2014]

When we saw the very first silicon based on the ARMv8-A architecture appear from ARM partner Applied Micro last year, I said the server world would never be the same again!

And why did I say that? I said it because as a partnership, we’re disrupting the data center market which is now in a period of unprecedented innovation. It may not be obvious but the ARM partnership has been disrupting the data center for years, as the architecture at the heart of the majority of mobile devices and many smart connected devices we’ve been indirectly impacting how hyper scale data centers are architected to address these new classes of cloud and web based workloads.

When the data center is fundamental to operating your business, as opposed to just providing supporting functions, cost savings become extremely important as they directly impact your bottom line. That’s why companies such as Facebook, Google, Twitter, Microsoft, Amazon and many more are laser-focused on reducing their Total Cost of Ownership (TCO). However, early adoption of new innovation must be balanced with deployment and management costs since the ‘T’ in TCO represents ‘Total.’ Standards are fundamental to ensure ease of deployment and cross-platform portability in the data center, and that’s why we’re excited to announce a new foundational specification that we’ve been collaborating on for a while – the Server Base System Architecture (SBSA) specification.

For those of you wanting to jump right in and read the specification you can download it here.

Competition is good; Choice fosters competition

A few years ago several ARM partners set about revisiting server design to better meet these new classes of workloads in a way that would provide the next step function efficiency improvements and, ultimately, TCO. The ARM partnership showed the world what was possible when you challenge convention and empower engineers with innovative, enterprise-grade technology building blocks whose DNA is strongly rooted in the power-efficient mobile world. Collectively we’ve already changed the industry as incumbent players have taken note and adjusted their roadmaps in favor of system-on-chip (SoC) designs.

While we’ve seen initial server success with 32-bit ARMv7 architecture-based solutions from Marvell & Texas Instruments, the arrival of 64-bit ARMv8-A architecture-based solutions marks a significant increase in the number and diversity of solutions. In addition to Applied Micro, AMD, Broadcom and Cavium have all made 64-bit announcements. Choice gives data center operators the opportunity to select best-of-breed solutions that enable them to meet their TCO goals. As a result, there is clear and growing demand for more workload-optimized solutions by a server market that was largely devoid of choice for the past 20+ years. However, as mentioned earlier data center operators are responsible for managing complex environments, and they must balance new technology adoption with any potential complexities (that a heterogeneous environment may bring).

Standards accelerate time-to-market and ease deployment

Imagine for a moment that you have a data center with thousands of existing servers. You may have a single OS running throughout your data center or you may have multiple OS’s, but either way you will likely have a single variant of each OS that deploys across all servers in your data center. Having to adopt a new and unique OS in order to roll out new and innovative hardware is not acceptable. It would quickly become unwieldy to manage and cause significant maintenance overhead (especially managing updates and patch sets to fix major bugs or security issues).

With multiple ARMv8-A architecture-based server solutions coming to market this year, it’s important to ensure that OS, firmware and software developers can rapidly develop and deploy on ARM-based servers, especially since there will be more choice and a broader diversity of solutions. The ARM partnership worked together to help ensure this would be the case when ARMv8-A architecture-based servers became a reality, and this is why the release of the ARM Server Base System Architecture (SBSA) specification is such an important milestone. The SBSA specification has been in development for some time (as evidenced by compliant silicon already existing), and represents close collaboration across the ARM partnership from software companies, OEMs and silicon partners, including: AMD, Applied Micro, Broadcom, Canonical, Cavium, Citrix, Dell, HP, Linaro, Microsoft, Red Hat, SUSE and Texas Instruments.

image

A large part of the ARM value proposition stems from a licensing model that empowers partners with technology building blocks on which they can innovate and develop compelling solutions. This means standardization efforts must strike a balance to avoid diluting or eliminating innovation. As owners and stewards of the ARM architecture, we are pleased to collaborate with other industry leaders to drive standards that help strike that balance and enable OS, firmware and software developers to rapidly develop and deploy on ARM-based servers.

The SBSA is a foundational specification that will evolve over time; encompassing additional capabilities such as live migration of virtual machines between different ARMv8-A architecture-based systems. It is a hardware specification that firmware, OS and virtualization companies will use to target a logical progression of platforms to accelerate development and ensure cross-platform portability.

image

SBSA standardizes low-level CPU and SoC attributes such as timers, interrupt controllers, watch dog timers, performance counters and also specifies minimum hardware requirements that firmware and OS vendors expect to be present. It stipulates adherence to industry standards for boot devices so that they can be managed in a consistent manner, and requires all hardware be describable or discoverable, to eliminate the need for explicit platform knowledge baked into the OS kernel. In order to provide a logical platform progression over time, the specification defines levels of standardization.  This provides a common language for the ecosystem to describe SoC and software capabilities, and ensure they intersect. In the example below, each level introduces additional requirements and is a superset of the previous level (unless explicitly documented). Silicon vendors are permitted to support capabilities beyond a given level as long as software created for that level is able to run unmodified. OS vendors are able to develop support for multiple levels in a single OS offering, thereby accelerating time-to-market and reducing maintenance by ensuring they can run across all ARMv8-A architecture-based server platforms:

image

The ARM partnership has consistently demonstrated its ability to collaborate and address common challenges that benefit the ecosystem at large. Linaro, a not-for-profit engineering organization founded 3.5 years ago, is another great example of this.  More specifically, the Linaro Enterprise Group (LEG) is focused exclusively on the development, test and up streaming of server-specific open source software. Linaro, through close collaboration with the open source community, is helping to implement some of the key software components in support of the SBSA specification. Linaro is also identifying potential areas for additional standardization that will benefit the open source community and improve software development and long-term maintainability. It’s a symbiotic relationship that will help ensure good software support exists in the Linux upstream:

image

ARM is excited to reach this important milestone, especially with the support of a vibrant and growing ecosystem, and we also realize there is still much work ahead to achieve the goals we’ve set for ourselves. This year represents an important inflection point for the ARM partnership as ARMv8-A architecture-based server solutions emerge and significantly extend our reach across a broader set of data center workloads representing a much broader market opportunity. The SBSA is the first of multiple specifications we expect to publicly release … so watch this space!

In the meantime, “you are now free to move around the ARM-based server ecosystem!”

ARM Ecosystem Collaborates to Deliver Initial Server Platform Standard [press release, Jan 29, 2014]

Accelerates data center software development for ARM-based servers

Cambridge, UK – 29 January 2014 – ARM® today announced the collaborative development and immediate availability of a platform standard for ARMv8-A based (64-bit) servers, known as the ARM ‘Server Base System Architecture’ (SBSA) specification. This effort included input and support from software companies such as Canonical, Citrix, Linaro, Microsoft, Red Hat and SUSE, and original equipment manufacturers (OEMs) including Dell and HP along with a broad set of silicon partners. This specification provides a framework for the deployment of innovative ARM architecture-based solutions in data center applications, and it will help accelerate software development and enable portability between ARM-based platforms. This specification is focused on aligning the ARM partnership around key system elements; empowering the ecosystem to build differentiated, value-added solutions that accelerate innovation and choice in the marketplace.

Data centers demand standards-based software and hardware offerings to ensure ease of deployment and manageability. Releasing the SBSA specification marks the beginning of a broader standardization activity that will simplify the development and deployment process for the entire developer ecosystem – from silicon to software, and all the way through to end-users. This initiative will accelerate the software ecosystem for ARM-based servers by providing operating system vendors (OSVs) and independent software vendors (ISVs) the ability to deliver technology that addresses the entirety of the ARM server community, featuring a rich, broad set of devices and platforms in a common way.

“As ARM’s data center ecosystem continues its rapid growth, this milestone enables partners to focus on their innovation while building on standards that help simplify their development and accelerate their time-to-market,” said Mike Muller, chief technology officer, ARM. “As owners and stewards of the ARM architecture, we are pleased to collaborate with other industry leaders to drive standards that enable OS, firmware and software developers to rapidly develop and deploy on ARM-based servers.”

“We are extremely pleased to see ARM take these steps, which we believe are very much in line with the principles of the Open Compute Project,” said Frank Frankovsky, president and chairman, Open Compute Project Foundation. “These standardization efforts will help speed adoption of ARM in the datacenter by providing consumers and software developers with the consistency and predictability they require, and by helping increase the pace of innovation in ARM technologies by eliminating gratuitous differentiation in areas like device enumeration and boot process.” Mobility and the Internet of Things (IoT) are driving the rapid adoption of cloud-based services, and data center operators have to adapt to the shifting characteristics of these new workloads. In order to efficiently meet these demands, the industry is seeking a richer choice of targeted solutions where software portability and standardization are key deployment considerations.

ARM Partner Quotes

AMD:
“Adopting industry standards and defining base platforms are essential for creating a healthy ARM-based 64-bit server ecosystem,” said Dr. Leendert van Doorn, corporate fellow and corporate vice president, AMD. “AMD is excited to have worked with ARM on the Server Base System Architecture requirements, and the public release of this specification will accelerate the adoption of ARM-based 64-bit servers.”

AppliedMicro:
“With X-Gene as the first product in the industry to be SBSA compliant, AppliedMicro is in full support of the ARM server standardization efforts,” said Dr. Paramesh Gopi, president and chief executive officer, AppliedMicro. “Bringing together OS vendors, server OEMs and silicon providers to work cohesively is providing a fully inter-operable standard platform at the same time fostering innovation resulting in compelling server solutions.”

Broadcom:
“Broadcom strongly believes in the value of standardization and ensuring software interoperability for the long-term success of the 64-bit ARM architecture,” said Ron Jankov, senior vice president and general manager, Processors and Wireless Infrastructure, Broadcom. “With the ARM 64-bit architecture, Broadcom is uniquely positioned to provide leadership in the 64-bit ARM ecosystem with server-class CPUs, best-in-class hardware acceleration, and data-center networking expertise.”

Canonical:
“ARM-based servers have the potential to transform the datacenter ecosystem back into a dynamic, innovative market,” said Christian Reis, vice president, Hyperscale Computing, Canonical. “We see the SBSA effort removing barriers to adoption by providing a framework for system implementation that any technology supplier can easily understand and follow. Canonical fully supports this effort and is committed to SBSA compliance for our Ubuntu Server product family.”

Cavium:
“Cavium’s Project Thunder will provide a family of multicore ARMv8 64-bit server-class processors for the cloud and data centers,” said Gopal Hegde, vice president and general manager, Data Center Processor Group, Cavium. “Working closely with ARM and the ecosystem, the Thunder product offering will provide a comprehensive workload optimized portfolio solution that will be interoperable across multiple management and orchestration standards. We applaud ARM’s leadership in spearheading the Server Platform Standard that will accelerate the adoption of the ARM architecture in the data center and cloud environment.”

My insert here: CAVIUM 64bit SoCs for Base Stations at MWC 2014 [Charbax YouTube channel, Feb 28, 2014]

Cavium talks about and shows their latest enterprise, data center, wired and wireless networking OCTEON and OCTEON Fusion SoCs based on ARMv8 64bit and MIPS, making customized optimized core designs for each in use for cloud servers and base stations among other. CAVIUM claims that their ARMv8 64bit enterprise/server design, due to be released later this year, provides more performance at lower power consumption than Intel´s x86.

Citrix:
“Citrix is the cloud company that enables mobile workstyles. Citrix is committed to open standards and has been recently engaged in the Server Base System Architecture discussion. We see the publication of the document as a positive move for the industry,” said Ahmed Sallam, vice president and chief technology officer, Hardware, Security, Emerging Solutions and IP, Citrix Systems. “The SBSA will foster the ARM-based server ecosystem and will act as a foundation for the coming years. Citrix will remain engaged in SBSA discussions and we will continue to provide our input based on what benefits our industry, partners and customers.”

DELL:
“Open and standards-based technologies have been a cornerstone of Dell’s philosophy for 30 years,” said Brian Payne, executive director of server solutions for Dell. “As multiple ARMv8 server system-on-chips become available, it’s important that we can effectively deliver new innovations and freedom of choice to our customers. A well-defined, standards-based platform is instrumental in providing OS portability and a familiar user experience to our customers seeking to deploy these new classes of server offerings. We are pleased with the progress the ARM ecosystem has made towards achieving this significant goal.”

HP:
“HP has supported ARM’s standardization effort since its inception, recognizing the benefits of an extensible platform with value-added features,” said Dong Wei, HP fellow. “With the new SBSA specification, we are able to establish a simplified baseline for deploying ARM-based solutions and look forward to future HP products based on the ARM architecture.”

Linaro:
“The ARM architecture and business model is unique in enabling rapid innovation from multiple ARM licensees. Many companies are now building innovative and differentiated solutions for the next generation low-power data center,” said David Rusling, chief technical officer, Linaro. “ARM’s SBSA is a critical component of enabling technology to standardize the common part of these solutions, and we look forward to working with ARM and ARM’s licensees on utilizing this technology to accelerate the deployment of a broad range of ARMv8-based server products.”

Red Hat:
“Today’s announcement of ARM Server Base System Architecture (SBSA) underscores the importance of having standards for the successful adoption and deployment of modern computer architectures, such as ARMv8,” said Jon Masters, Chief ARM Architect, Red Hat. “Red Hat’s support for standards via our participation in the Linaro Enterprise Group, our unique insight as the world’s leading supplier of Open Source server technologies and the collaborative ecosystem effort led by ARM, has enabled us to contribute to the creation of a unified common platform capable of supporting the ARM Architecture at Hyperscale”.

SUSE:
“SUSE has worked on and supported development around ARM processors for several years, and we anticipate ARM processor adoption in cloud, big data and high-performance computing applications,” said Ralf Flaxa, vice president of engineering, SUSE. “SUSE welcomes the SBSA standardization efforts and is proud to contribute to the server platform standard’s development. As the market emerges, this standard will become a key factor determining success in the enterprise ecosystem, and we look forward to working with platforms that implement it.”

Texas Instruments:
“As an early innovator of unique server-grade KeyStone SoCs that combine digital signal processors, ARM Cortex processors, packet processing, security acceleration and Ethernet switching, TI applauds the ARM ecosystem for its collaboration on delivering the SBSA specification, ” said Bill Mills, chief technologist for open source, Texas Instruments. “Standardizations, such as SBSA, enable software simplification without impacting the innovation our heterogeneous compute elements bring to high-performance compute customers.”

To download a copy of the Server Base System Architecture specification, go to: http://infocenter.arm.com/help/index.jsp?topic=/com.arm.doc.den0029/index.html.

SBSA: The Right Level of Server Standardization [Ian Ferguson on Smart and Connected Blog of ARM, Jan 29, 2014]

At the January Facebook OpenCompute Project event in San Jose, ARM announced the public release of the system base architecture specification (SBSA). Jeff Underhill [see earlier] has gone into some detail in his blog about the details included in this document. As one of the renegades that started the ARM server program several years ago, I felt it appropriate to share some thoughts as to why Jeff and I initiated this work and how it fits into the server program that ARM and its partners are feverously working on.

About a year ago, I moved out of the server marketing program to lead a number of other vertical market initiatives. I have continued to monitor (some may say I have “separation anxiety issues”) the excellent progress being made by those that have taken over the reins, including Lakshmi Mandyam who now leads this initiative.

In any market initiative, the success of ARM technology is achieved by finding the right balance between standardization and innovation. Standardization enables a software ecosystem to coalesce. To quote my business friend Frank Frankovsky, the pioneer and visonary behing the OpenCompute Project at Facebook, we need to avoid “gratuitous differentiation”; namely differences between devices that offer limited differentiation at the platform level yet cause challenge for the software ecosystem. Innovation enables silicon partners to integrate functionality that will provide specific benefits for the particular application or a set of applications. This approach encourages multiple companies to enter a particular application, giving end users and platform builders a choice of solutions from which to select. Competition is good. The pace of innovation continues at an incredible pace.

From the outset, the goal of server initiative was to bring the level of innovation seen in the mobile world to a market devoid of disruption and change for so long. This sounds easy. However achieving this balance is challenging, especially as ARM’s model is to agree on these specifications with a consensus driven culture across the partnership as opposed to mandating and imposing a particular direction. It is important for our partners to feel there are areas of system functionality that they can implement while remaining compliant with the SBSA. A reader of this specification will soon realize that the document does not prescribe the functionality of an ARM based server down at the connector or form factor level. To this end, Jeff Underhill started this standardization work when I was leading the server initiative. It is fantastic to see it coming into the public domain today.

As the press release indicates, a number of companies have come together to work on this specification. Beyond the companies that have made public announcements about their activities in the ARM server domain, little should be implied about commitments by the companies list here to build ARM products for this domain. Merely that through partnership, there is a “recipe” for ensuring a 64-bit server operating system will boot in a standard way irrespective of the ARMv8-based SoC that a platform is based on.

As I mentioned above, ARM believes that it is important to have many silicon partners pursuing a specific application domain. It fuels innovation and enables companies further down the value chain to select the device that best meets their requirements. The emergence of cloud computing has changed the mix of compute, memory and IO in the workloads. I expect this to change even more with the plethora of connected sensors that will start to communicate with hosted services. As Mike Muller, ARM’s CTO states, “Big Data starts with Little Data”. When it comes to infrastructure equipment, one size does not fit all. As many press and market analysts observed, Calxeda ceased operations last month. Calxeda was a strong pioneer in this domain and it is disappointing to seem them close their doors. That said, as evidenced at OCP with the product announcement by AMD of their Opteron™ A1100 Series based on the Cortex-A57 processor and the demonstrations of the X-Gene product in platforms by Applied Micro, there are several others rising up to carry the torch. I expect other semiconductor companies that have publically declared their intent to pursue this domain such as Broadcom & Cavium to share progress updates in the coming months and quarters.

It is perfectly fair (and indeed natural) for some industry analysts, end customers and system builders to remain skeptical. It is down to the ARM Ecosystem to demonstrate the benefits promised for server applications to shift the opinions of the doubters. Icebergs have about 90% of their mass under the surface. Just like the SBSA announcement did today, additional elements of the program will start to rise above the surface and become visible in the public domain in the coming months and years that will also help crystalize the direction of the program. I remain incredibly confident of the value proposition and the vector on which ARM and its partners are headed.

OCP Summit V 2014 kicks off the year of ARM servers [Lakshmi Mandyamon Smart and Connected Blog of ARM, Feb 15, 2014]

The last week in January was a great week for the ARM server ecosystem and we had a great week at the Open Compute Project (OCP) Summit. My OCP summit week started with an interview with my friends at ‘theCUBE’ lakshmi mandyan – YouTube. We talked about how much OCP summit had grown with attendance almost doubling from 2013 to 2014. The other conversation we had was about how OCP summit was creating a voice for “hobbyists” or the “maker movement”. Well at ARM we have been feeding the maker movement across the spectrum right from our Cortex M0 based MBED to Arduino to Rasberry Pi and now with some of the OCP platforms that were announced at the show from partners like AMD and Applied Micro the momentum continues.

The morning of OCP summit featured a “group hug” in the guise of AMD, Applied Micro and Intel all going one after the other with keynotes. Andrew Feldman of AMD delivered a great presentation on how the world has changed and the implications that change has for the data center Disruptive Technologies for the Datacenter – Andrew Feldman – YouTube he also announced that the Opteron A1100 chip, featuring 8 Cortex-A57 processors and a plethora of other integration, will be sampling in March and that they made an OCP contribution based on this processor.

Paramesh Gopi CEO of Applied Micro also shared his vision on where the X-GENE product line is evolving [with next gen X-Gene and X-Weave] to with FinFet, 16+ cores [on a die], RDMA over Converged Ethernet (RoCE [240G I/O]) continuing to drive higher integration that will drive down TCO Paramesh Gopi, Applied Micro – YouTube

OCP Summit V – January 28, 2014 – San Jose Convention Center, San Jose, California Paramesh Gopi, Applied Micro

On day2 our CMO Ian Drew delivered a great key note titled “ARM in the Data Center”  ARM for the Datacenter – Ian Drew – YouTube [was included earlier into this post] where he announced the collaborative creation and public release of the Server Base System Architecture (SBSA). My colleagues Jeff Underhill and Ian Ferguson have already blogged about that announcement in detail the links to their blogs can be found here and here respectively.

There were several articles published about the SBSA launch. A couple of my favorite quotes were:

    • “We can only applaud these efforts: it will eliminate a lot of useless time investments, lower costs and help make ARM partners a real option in servers. With the expected launch of many ARM Cortex-A57 based server SoCs this year, it looks like 2014 can be a breakthrough year for ARM servers.” – Johan De Gelas, AnandTech
    • “The more powerful, 64-bit designs are a threat to Intel Corp., which controls more than 95 percent of the market for chips in servers that use personal-computer processors. ARM, whose designs are found in chips that run Apple Inc.’s iPhone and iPad, is betting that the regulated designs will be cheaper to use and create a wider market for the chips.” – Amy Thomson, Bloomberg News

During the fireside chat following Ian’s keynote, Marc Andreessen was bullish on ARM in the Data Center http://youtu.be/O-gENvy0F-w . He shared how he believed that the cost burden that data centers were under was demanding a broader supply chain including players in the current smartphone supply chain. He talked about the grand unification of the data center and smart phone supply chain and how ARM based chips would be the first case study.

The momentum has continued beyond OCP summit. Dell was one of the first OEM partners for ARM when they announced their Dell Copper platform. They are continuing to invest in ARM programs, a great example being the recently announced proof of concept with Applied Micro for Hyperscale development Dell offers 64-bit ARM microserver proof-of-concept for hyperscale on the heels of Open Compute Summit momentum – Dell4… .

Last week I was doing a number of press and analyst briefings in Europe with our partner AMD on their announcement and people are clearly excited about the history AMD brings to the ARM party in terms of being a credible vendor of server technologies. It has also been fun watching them share their story about why ARM will win in the long run!
2014 is the year of ARM servers!

2014 will be the last year of “free ride” in the smartphone and tablet spaces for ARM-based competitors of Intel – at least what Intel is insisting again

With 2013 performance of only 10 million tablet chip sets (for Windows mostly) Intel is still confident in its ability to deliver 40 million of those (with increased Android portion) in 2014. To achieve this they will be doing a lot of enabling across the industry to take the Bay Trail-based tablet BOM cost down to an equivalent level. They expect that the company’s overall margin will be hit just by 1.5% because of this required in 2014 effort. They are saying that Intel will be safe from 2015 on as moving to 14nm process technology with next-generation (even in terms of micro-architecture) Broxton and SOFIA SoCs for tablet and smartphone devices. They are basing this statement on their inherent “transistor density” advantage against TSMC from that point in time on, despite some analysts’ opinion of the economy of scale advantage of TSMC in terms of the number of wafers produced.

Meanwhile the possible direction of leading OEMs got a hint with New Acer CEO introduced to the media [Formosa EnglishNews, Jan 14, 2014]

In a press conference today, new Acer CEO Jason Chen said he looks forward to transforming the struggling Taiwanese computer maker. Chen was joined by Chairman Stan Shih, who recently rejoined Acer in an effort to resurrect the company he founded. Acer Chairman Stan Shih appeared with new CEO Jason Chen. They smiled broadly and wore matching pink shirts at today’s press conference.Stan Shih Acer Chairman I look forward to Jason being an outstanding performer and soon eclipsing me. Reporters will forget about Stan.Chen was lured away from TSMC. His expertise is in marketing, and he was the youngest ever TSMC senior vice president. His resume also includes prior stints with Intel and IBM.Morris Chang TSMC ChairmanI think it’s a good thing that TSMC can train people to work and lead other com

With media generally reporting that Acer’s biggest mistake was its too early and too heavy bet on ultrabooks it is clear that OEMs will take a very cautious approach with Intel’s efforts to decrease the Bay-Trail based tablet costs down on the BOM level, as it is exactly what happened with ultrabooks. Instead the will try to solidify their tablet market position with ARM-based tablets in all segments of the tablet market, from the lowest cost upto the premium. Moreover, Jason Chen’s appointment to the CEO position of Acer is also showing that even for ongoing efforts OEMs need a very detailed and deep understanding of the SoC manufacturing and even the process technologies. Take note of Jason Chen’s history of employment in order to understand that:

  • TSMC: 2005-2013
  • Intel: 1991-2005
  • IBM: 1991-1998

In other regards we only know that Acer to start new operation strategy in April to focus on BYOC (Build Your Own Cloud) [DIGITIMES, Jan 13, 2014] and that “In the future, all of Acer’s businesses including desktop, notebook and tablet will involve the BYOC platform and it is hoping to strengthen its product lines through the services.” It will be interesting to watch what that means as my previous conclusion was Leading PC vendors of the past: Go enterprise or die! [‘Experiencing the Cloud’, Nov 7, 2013].

Now back to the Intel related information in terms of details in their earnings call. Note before that the correlation of Intel and Microsoft stock prices (as well that the stock market was absolutely not happy with Intel results and especially with the “flat 2014” outlook):

image

The company’s stance for 2014 is indeed not rosy as Intel to reduce global workforce by five percent in 2014 [Reuters, Jan 17, 2014].

From: Intel’s CEO Discusses Q4 2013 Results – Earnings Call Transcript [Seeking Alpha, Jan 16, 2014]
Inserted slides are from Investor Meeting – Stacy Smith (CFO) [Nov 21, 2013] while the acompanying text is from Intel Shares Mobile Progress, Priorities and Product Pipeline at Annual Investor Day [Technology@Intel, Nov 25, 2013] if reference is not put underneath

[On transistor density and wafer cost]

Mark Lipacis – Jefferies

Thanks for taking my question. At the Analyst Day, you addressed your view on transistor density and your expectation for leadership on that vector, but I have to say this discussing that idea with investors is a consensus view that seems to be that Intel has an inherent wafer cost disadvantage that relative to TSMC that neutralizes or more than neutralizes your transistor density advantage and the argument is that TSMC ships more wafers and therefore has more better purchasing power than you and its lower labor cost, so net-net, they have just a big huge advantage of wafer cost that you should have a hard to, too hard of a time to overcome. So my question is do you think that’s a fair view. Can you help us talk to the relative elements of the wafer cost and how you think you can compare? Any kind of help that you give us on the cost dimension would be extremely helpful. Thank you.

image
From: CES: Process Will Still Win in Mobile, Says Intel’s Eul [Barrons.com, Jan 9, 2014]
Eul points out that Qualcomm, and other competitors such as Nvidia (NVDA) and Broadcom (BRCM), all of whom are dependent on Taiwan Semiconductor Manufacturing Company to actually make the chips they design, will run into a problem as Taiwan Semi’s technology stops scaling.
Intel had made the point at the analyst day presentation, and Eul repeated it: As TSMC moves from 28 nanometer to 20 nanometer, it will run into a problem at the subsequent step, 16 nanometer, where TSMC will not add any real reduction in transistor size. That, says Eul, means that 16-nanometer parts a few years from now will be stuck at a 20-nanometer feature size while intel presumably zooms ahead to 10 nanometer by that time.
And what that means is that, unable to scale the density of a chip as Intel can, Qualcomm and Nvidia and Broadcom and the others will not be able to integrate as many parts as Intel on a single semiconductor die.
And so to those who point out that Intel hasn’t yet released its integrated baseband chip, Sofia, mentioned above, Eul contends the company will have the last laugh in a few years’ time as Qualcomm and the rest hitting a scaling wall.

Brian Krzanich – Chief Executive Officer

You know I think the first thing to remember is that what really counts in all of this is transistor cost and what we really talk about in our Moore’s Law of Curves and when we talk about transistor density is driving a consistent cost reduction of the transistors and so wafer cost is one segment of that. I’m not going to comment on you know TSMC’s wafer cost versus our wafer cost but we feel confident that our relative level of scaling and our internal wafer cost are such that we believe we have a leadership position in transistor cost.

When you’re talking about any product whatever it is, a logic product that’s a low-end microprocessor for wearable or internet of things or high-end Xeon server. You’re talking about the number of case and hence the number of transistors required to put that logic device together, it doesn’t matter whose technology it’s on to some extent. It doesn’t matter what node and so the more cost effective those transistors are whether it’s 500 million or 3 billion the lower the product cost there is and that’s really what we focus on and why we focus on transistor cost. So I think we stand by our what we said at the investor meeting.

[On tablets]

Brian Krzanich: Our disclosure in November of a new smartphone and tablet road map that will include SoFIA our first IA SSD with integrated comps later this year is further evident that we’re innovating and bringing products to market at faster pace. Looking ahead 2014 will be an exciting year as we build further on this new foundation. We have established a goal to grow our tablet volumes to more than 40 million units. Within an emphasis on the value segment. As we’re finishing 2013 with more than 10 million units and a strong book of design wins we’re off to a good start.

Stacy Smith: In the tablet market, we launched the Bay Trail SoC and have started to expand our footprint and market signature in this growing market.

image
The 4X Tablet Campaign:
This year, Intel increased its focus on tablets with key design wins and the introduction of Bay Trail.  Next year, Intel plans to increase tablet volumes by 4X!  Eul signaled a rich pipeline of tablet and phablet design wins for Bay Trail including Android and Windows devices spanning price points from premium to sub $99 products from leading OEMs and the China tech ecosystem. He also said industry leading performance, competitive battery life, cost-reduced SOCs and unique features like 64 bit will help drive growth. Intel gave a first-time demo of the performance gains achieved with a 64 bit Bay Trail system running Windows and showed a 64 bit kernel running on an Android tablet.

Note the details about the 2014 tablet market of ~289+ million units in the 2014 will be the last year of making sufficient changes for Microsoft’s smartphone and tablet strategies, and those changes should be radical if the company wants to suceed with its devices and services strategy [‘Experiencing the Cloud’, Jan 17, 2014] post of mine. The 40 million target of Intel is therefore less than 14% of that.

[regarding: So on the tablet strategy to get the 40 million you’re saying it’s going to be a 1.5 percentage hit.

CFO Commentary on Fourth-Quarter and Full Year 2013 Results
2014 Outlook
Gross Margin Reconciliation: 2013 to 2014 Outlook (59.8% to 60% +/- a few points)
    • – 1.5 points: Tablet impact

    Let’s say you guys get into the second half of the year and you’re not quite to the 40 million if it’s a pretty significant short fall. Would you consider canning that strategy I guess I’m just wondering what the commitment is if the volumes aren’t there but the cost is there by the end of the year?]

    Brian Krzanich: This isn’t a price reduction as normal price reduction would be; it’s not where you are just simply reducing. It’s truly a BOM cost equalizer and remember a lot of our 40 million tablets in ’14 will be based on Bay Trail. Bay Trail was originally designed for Avoton-based PC segments and the upper end tablet [and all Windows]. And so it’s what we are doing here is doing a BOM cast delta relative to the, what the mid and lower end tablets require. And so those are things like Bay Trail may require more layers of a printed circuit board for the board itself, more components on the board and tighter power management controls and things like that. We have a whole program to reduce those throughout the year. So that gives us confidence that as we go through the year, the BOM cast delta will shrink, but if the volume didn’t show up for some reason and I am not going to say that, that’s what’s going to happen, but I am confident it will, but if it didn’t it’s on a per unit basis. And so the spending on that contra would be reduced equivalently.

    Stacy Smith: And I would just add as Brian said we are doing a lot of enabling across the industry to take the BOM cast out in equivalent. These are costs at the system level not at our chip level and it will vary a lot by SKU, but to give you a sense for a Bay Trail platform from the beginning of the year to the end of the year we think that, that BOM penalty drops by more than half. And so it kind of gets better out in time. And then when we get to the Broxton generation we think it’s de minimis.

    Brian Krzanich: Both Broxton and SoFIA are just specifically designed to eliminate that delta.

    image
    Say “hello” to SoFIA:
    By the end of 2014, Intel will deliver a new integrated Atom processor + communications solution for entry and value smartphones and tablets, code-named SoFIA. In his presentation, Eul highlighted that Intel’s Infineon wireless assets make the company an “incumbent” in the mobile phone market, shipping more than 360M mobile platforms a year spanning 2G and 3G solutions. He said SoFIA builds on the proven 3G communications platform to deliver a competitive and highly integrated, IA-based mobile solution aimed at the fast-growing market for entry smartphones and tablets. The 3G version of SoFIA is expected by the end of 2014, and Eul said an LTE version would follow in the first half of 2015.
    Accelerated Mobile Roadmap: While specific product details will be saved for a later date, Eul signaled a robust pipeline of new Atom processors and multi-comms solutions for 2014 and beyond to address devices spanning market segments from entry to performance smartphones and tablets, an approach he called “market-oriented pragmatism.” In addition to SoFIA, Eul noted:
      • Broxton in 2015 Intel plans to deliver a 14nm, 64 bit SOC based on a new, next generation Atom architecture (Goldmont) targeted for hero devices. Broxton is being designed for pairing with Intel’s next generation LTE solutions.

      [regarding: If we look at tablets and smartphone, what type of units do you need to reach for that business to stop having a material impact in gross margin from is 10 points higher utilization rates and excluding the contra revenue impact and that’s it? So just looking at the 40 million units target for this year, what type of volume do you need to get in order for gross margin to start appreciating from the west of the business if you exclude the contra revenue impact?]

      Brian Krzanich: Yes, it’s hard to say. I mean, I will bridge back to our strategy here. Our strategy is that we are going to use our process technology leads. We will have leadership products that also are competitive or maybe even leadership in terms of cost and I showed some data at the investor meeting that just kind of showed the die size as we progress from Bay Trail to Broxton to SoFIA and so you can get a sense of the kinds of cost structure that we are going to have on a per unit basis. I don’t think it causes on a percentage basis. Yes, I can’t – I am not envisioning if this causes the gross margin percentage to go up, but you can definitely get to a space once we get through these contra enabling dollars where every unit we sell is accretive on a gross margin dollars per unit. It’s utilizing factories that we have in place for PCs. And so it’s a nice adder of that gross margin dollar per unit standpoint.

      [regarding: Bay Trail Android tablets]

      Brian Krzanich: Most of the Bay Trail Android tablets really start showing up more in Q2 than in Q1 and that’s again purely you know remember we made a shift, an original program for Bay Trail was all Windows. As we came into the midpoint of the year we sandbox [ph] shift and make it Windows and Android and so you know our OEM partners as well are targeting more towards Q2 and it’s just when you do you go and start putting back in that back to school event which is a next seasonal place where upside usually occur.

      [regarding: On the smartphone or on tablet space, I think it is true that Intel has a manufacturing lead, but do you think your cost reduction efforts and then the Moore’s Law advantages ever progressed faster than the ASP declines in the space. In other words, do you think Intel can be sustainably profitable in the mobile space which is maturing?]

      Brian Krzanich: Yes, we absolutely do. You saw at the investor meeting products like SoFIA, which really are going to be put on to 14-nanometer are fully integrated all the way through with the 3G option or an LTE option and that LTE is with carrier aggregation. Those kinds of products we believe are very, very cost competitive in fact leading from a cost position. In addition, we don’t talk a lot about, but we are already in that low cost Asia market. We are inch and then we are working with ODMs there. That’s actually where a lot of the innovations coming out of for some of these cost reductions on tablets and where we are getting the cost reduction ideas. So we are in that market now. We sold out of that Shenzhen low cost market in Q4. We will continue through it – through 2014 and with products like SoFIA on leading edge technology, we are very comfortable that we can get into those very low price points.

      AMD’s dense server strategy of mixing next-gen x86 Opterons with 64-bit ARM Cortex-A57 based Opterons on the SeaMicro Freedom™ fabric to disrupt the 2014 datacenter market using open source software (so far)

      … so far, as Microsoft was in a “shut-up and ship” mode of operation during 2013 and could deliver its revolutionary Cloud OS with its even more disruptive Big Data solution for x86 only (that is likely to change as 64-bit ARM will be delivered with servers in H2 CY14).

      Update: Disruptive Technologies for the Datacenter – Andrew Feldman, GM and CVP, AMD [Open Compute Project, Jan 28, 2014]

      OCP Summit V – January 28, 2014, San Jose Convention Center, San Jose, California Disruptive Technologies for the Datacenter – Andrew Feldman, GM and CVP, AMD

      image

      image

      image
      Note from the press release given below that: “The AMD Opteron A-Series development kit is packaged in a Micro-ATX form factor”. Take the note of the topmost message: “Optimized for dense compute High-density, power-sensitive scale-out workloads: web hosting, data analytics, caching, storage”.

      image

      image

      image

      image

      AMD to Accelerate the ARM Server Ecosystem with the First ARM-based CPU and Development Platform from a Server Processor Vendor [press release, Jan 28, 2014]

      AMD also announced the imminent sampling of the ARM-based processor, named the AMD Opteron™ A1100 Series, and a development platform, which includes an evaluation board and a comprehensive software suite.

      image
      This should be the evaluation board for the development platform with imminent sampling.

      In addition, AMD announced that it would be contributing to the Open Compute Project a new micro-server design using the AMD Opteron A-Series, as part of the common slot architecture specification for motherboards dubbed “Group Hug.”

      From OCP Summit IV: Breaking Up the Monolith [blog of the Open Compute Project, Jan 16, 2013]
      …  “Group Hug” board: Facebook is contributing a new common slot architecture specification for motherboards. This specification — which we’ve nicknamed “Group Hug” — can be used to produce boards that are completely vendor-neutral and will last through multiple processor generations. The specification uses a simple PCIe x8 connector to link the SOCs to the board. …

      How does AMD support the Open Compute common slot architecture? [AMD YouTube channel, Oct 3, 2013]

      Learn more about AMD Open Compute: http://bit.ly/AMD_OpenCompute Dense computing is the latest trend in datacenter technology, and the Open Compute Project is driving standards codenamed Common Slot. In this video, AMD explains Common Slot and how the AMD APU and ARM offerings will power next generation data centers.

      See also: Facebook Saved Over A Billion Dollars By Building Open Sourced Servers [TechCrunch, Jan 28, 2014]
      image
      from which I copied here the above image showing the “Group Hug” motherboards.
      Below you could see an excerpt from Andrew Feldman’s presentation showing such a motherboard with Opteron™ A1100 Series SoCs (even further down there is an image with Feldman showing that motherboard to the public during his talk):

      image

      The AMD Opteron A-Series processor, codenamed “Seattle,” will sample this quarter along with a development platform that will make software design on the industry’s premier ARM–based server CPU quick and easy. AMD is collaborating with industry leaders to enable a robust 64-bit software ecosystem for ARM-based designs from compilers and simulators to hypervisors, operating systems and application software, in order to address key workloads in Web-tier and storage data center environments. The AMD Opteron A-Series development platform will be supported by a broad set of tools and software including a standard UEFI boot and Linux environment based on the Fedora Project, a Red Hat-sponsored, community-driven Linux distribution.

      imageAMD continues to drive the evolution of the open-source data center from vision to reality and bring choice among processor architectures. It is contributing the new AMD Open CS 1.0 Common Slot design based on the AMD Opteron A-Series processor compliant with the new Common Slot specification, also announced today, to the Open Compute Project.

      AMD announces plans to sample 64-bit ARM Opteron A “Seattle” processors [AMD Blogs > AMD Business, Jan 28, 2014]

      AMD’s rich history in server-class silicon includes a number of notable firsts including the first 64-bit x86 architecture and true multi-core x86 processors. AMD adds to that history by announcing that its revolutionary AMD Opteron™ A-series 64-bit ARM processors, codenamed “Seattle,” will be sampling this quarter.

      AMD Opteron A-Series processors combine AMD’s expertise in delivering server-class silicon with ARM’s trademark low-power architecture and contributing to the Open Source software ecosystem that is rapidly growing around the ARM 64-bit architecture. AMD Opteron A-Series processors make use of ARM’s 64-bit ARMv8 architecture to provide true server-class features in a power efficient solution.

      AMD plans for the AMD Opteron™ A1100 processors to be available in the second half of 2014 with four or eight ARM Cortex A57 cores, up to 4MB of shared Level 2 cache and 8MB of shared Level 3 cache. The AMD Opteron A-Series processor supports up to 128GB of DDR3 or DDR4 ECC memory as unbuffered DIMMs, registered DIMMs or SODIMMs.

      The ARMv8 architecture is the first from ARM to have 64-bit support, something that AMD brought to the x86 market in 2003 with the AMD Opteron processor. Not only can the ARMv8-based Cortex A-57 architecture address large pools of memory, it has been designed from the ground up to provide the optimal balance of performance and power efficiency to address the broad spectrum of scale-out data center workloads.

      With more than a decade of experience in designing server-class solutions silicon, AMD took the ARM Cortex A57 core, added a server-class memory controller, and included features resulting in a processor that meets the demands of scale-out workloads. A requirement of scale-out workloads is high performance connectivity, and the AMD Opteron A1100 processor has extensive integrated I/O, including eight PCI Express Gen 3 lanes, two 10 GB/s Ethernet and eight SATA 3 ports.

      Scale-out workloads are becoming critical building blocks in today’s data centers. These workloads scale over hundreds or thousands of servers, making power efficient performance critical in keeping total cost of ownership (TCO) low. The AMD Opteron A-Series meets the demand of these workloads through intelligent silicon design and by supporting a number of operating system and software projects.

      As part of delivering a server-class solution, AMD has invested in the software ecosystem that will support AMD Opteron A-Series processors. AMD is a gold member of the Linux Foundation, the organisation that oversees the development of the Linux kernel, and is a member of Linaro, a significant contributor to the Linux kernel. Alongside collaboration with the Linux Foundation and Linaro, AMD itself is listed as a top 20 contributor to the Linux kernel. A number of operating system vendors have stated they will support the 64-bit ARM ecosystem, including Canonical, Red Hat and SUSE, while virtualization will be enabled through KVM and Xen.

      Operating system support is supplemented with programming language support, with Oracle and the community-driven OpenJDK porting versions of Java onto the 64-bit ARM architecture. Other popular languages that will run on AMD Opteron A-Series processors include Perl, PHP, Python and Ruby. The extremely popular GNU C compiler and the critical GNU C Library have already been ported to the 64-bit ARM architecture.

      Through the combination of kernel support and development tools such as libraries, compilers and debuggers, the foundation has been set for developers to port applications to a rapidly growing ecosystem.

      As AMD Opteron A-Series processors are well suited to web hosting and big data workloads, AMD is a gold sponsor of the Apache Foundation, the organisation that manages the Hadoop and HTTP Server projects. Up and down the software stack, the ecosystem is ready for the data center revolution that will take place when AMD Opteron A-Series are deployed.

      Soon, AMD’s partners will start to realise what a true server-class 64-bit ARM processor can do. By using AMD’s Opteron A-Series Development Kit, developers can contribute to the fast growing software ecosystem that already includes operating systems, compilers, hypervisors and applications. Combining AMD’s rich history in designing server-class solutions with ARM’s legendary low-power architecture, the Opteron A-Series ushers in the era of personalised performance.

      Introducing the industry’s only 64-bit ARM-based server SoC from AMD [AMD YouTube channel, Jan 21, 2014]

      Hear from AMD & ARM executives on why AMD is well-suited to bring ARM to the datacenter. AMD is introducing “Seattle,” a 64-bit ARM-based server SoC built on the same technology that powers billions of today’s most popular mobile devices. By fusing AMD’s deep expertise in the server processor space along with ARM’s low-power, parallel processing capabilities, Seattle makes it possible for servers to be tuned for targeted workloads such as web/cloud hosting, multi-media delivery, and data analytics to enable optimized performance at low power thresholds. Subscribe: http://bit.ly/Subscribe_to_AMD

      It Begins: AMD Announces Its First ARM Based Server SoC, 64-bit/8-core Opteron A1100 [AnandTech, Jan 28, 2014]

      … AMD will be making a reference board available to interested parties starting in March, with server and OEM announcements to come in Q4 of this year

      It’s still too early to talk about performance or TDPs, but AMD did indicate better overall performance than its Opteron X2150 (4-core 1.9GHz Jaguar) at a comparable TDP:

      image

      AMD alluded to substantial cost savings over competing Intel solutions with support for similar memory capacities. AMD tells me we should expect a total “solution” price somewhere around 1/10th that of a competing high-end Xeon box, but it isn’t offering specifics beyond that just yet. Given the Opteron X2150 performance/TDP comparison, I’m guessing we’re looking at a similar ~$100 price point for the SoC. There’s also no word on whether or not the SoC will leverage any of AMD’s graphics IP. …

      End of Update

      AMD is also in a quite unique market position now as its only real competitor, Calxeda shut down its operation on December 19, 2013 and went into restructuring. The reason for that was lack of further funding by venture capitalists attributed mainly to its initial 32-bit Cortex-A15 based approach and the unwillingness of customers and software partners to port their already 64-bit x86 software back to 32-bit.

      With the only remaining competitor in the 64-bit ARM server SoC race so far*, Applied Micro’s X-Gene SoC being built on a purpose built core of its own (see also my Software defined server without Microsoft: HP Moonshot [‘Experiencing the Cloud’, April 10, Dec 6, 2013] post), i.e. with only architecture license taken from ARM Holdings, the volume 64-bit ARM server SoC market starting in 2014 already belongs to AMD. I would base that prediction on the AppliedMicro’s X-Gene: 2013 Year in Review [Dec 20, 2013] post, stating that the first-generation X-Gene product is just nearing volume production, and a pilot X-Gene solution is planned only for early 2014 delivery by Dell.

      * There is also Cavium which has too an ARMv8 architecture license only (obtained in August, 2012) but for this the latest information (as of Oct 30, 2013) was that: “In terms of the specific announcement of the product, we want to do it fairly close to silicon. We believe that this is a very differentiated product, and we would like to kind of keep it under the covers as long as we can. Obviously our customers have all the details of the products, and they’re working with them, but on a general basis for competitive reasons, we are kind of keeping this a little bit more quieter than we normally do.”

      Meanwhile the 64-bit x86 based SeaMicro solution has been on the market since July 30, 2010, after 3 years in development. At the time of SeaMicro acquisition by AMD (Feb 29, 2012) this already represented a quite well thought-out and engineered solution, as one can easily grasp from the information included below:  

      image

      1. IOVT: I/O-Virtualization Technology
      2. TIO: Turn It Off

      image

      3. Freedom™ Supercomputer Fabric: 3D torus network fabric
      – 8 x 8 x 8 Fabric nodes
      – Diameter (max hop) 4 + 4 + 4 = 12
      – Theor. cross section bandwidth = 2 (periodic) x 8 x 8 (section) x 2(bidir) x 2.0Gbs/link = 512Gb/s
      – Compute, storage, mgmt cards are plugged into the network fabric
      – Support for hot plugged compute cards
      The first three—IOVT, TIO, and the Freedom™ Supercomputer Fabric—live in SeaMicro’s Freedom™ ASIC. Freedom™ ASICs are paired with each CPU and with DRAM, forming the foundational building block of a SeaMicro system.
      4. DCAT: Dynamic Computation-Allocation Technology™
      – CPU management and load balancing
      – Dynamic workload allocation to specific CPUs on the basis of power-usage metrics
      – Users can create pools of compute for a given application
      – Compute resources can be dynamically added to the pool based on predefined utilization thresholds
      The DCAT technology resides in the SeaMicro system software and custom-designed FPGAs/NPUs, which control and direct the I/O traffic.
      More information:
      SeaMicro SM10000-64 Server [SeaMicro presentation on Hot Chips 23, Aug 19, 2011] for slides in PDF format while the presentation itself is the first one in the following recorded video (just the first 20 minutes + 7 minutes of—quite valuable—Q&A following that):
      Session 7, Hot Chips 23 (2011), Friday, August 19, 2011. SeaMicro SM10000-64 Server: Building Data Center Servers Using “Cell Phone” Chips Ashutosh Dhodapkar, Gary Lauterbach, Sean Lie, Dhiraj Mallick, Jim Bauman, Sundar Kanthadai, Toru Kuzuhara, Gene Shen, Min Xu, and Chris Zhang, SeaMicro Poulson: An 8-Core, 32nm, Next-Generation Intel Itanium Processor Stephen Undy, Intel T4: A Highly Threaded Server-on-a-Chip with Native Support for Heterogenous Computing Robert Golla and Paul Jordan, Oracle
      SeaMicro Technology Overview [Anil Rao from SeaMicro, January 2012]
      System Overview for the SM10000 Family [Anil Rao from SeaMicro, January 2012]
      Note that the above is just for the 1st generation as after the AMD acquisition (Feb 29, 2012) a second generation solution came out with the SM15000 enclosure (Sept 10, 2012 with more info in the details section later), and certainly there will be a 3d generation solution with the integrated into the each of x86 and 64-bit ARM based SoCs coming in 2014.

      With the “only production ready, production tested supercompute fabric” (as was touted by Rory Read, CEO of AMD more than a year ago), the SeaMicro Freedom™ now will be integrated into the upcoming 64-bit ARM Cortex-A57 based “Seattle” chips from AMD, sampling in the first quarter of 2014. Consequently I would argue that even the high-end market will be captured by the company. Moreover, I think this will not be only in the SoC realm but in enclosures space as well (although that 3d type of enclosure is still to come), to detriment of HP’s highly marketed Moonshot and CloudSystem initiatives.

      Then here are two recent quotes from the top executive duo of AMD showing the importance of their upcoming solution as they view it themselves:

      Rory Read – AMD’s President and CEO [Oct 17, 2013]:

      In the server market, the industry is at the initial stages of a multiyear transition that will fundamentally change the competitive dynamic. Cloud providers are placing a growing importance on how they get better performance from their datacenters while also reducing the physical footprint and power consumption of their server solution.

      image

      Lisa Su – AMD’s Senior Vice President and General Manager, Global Business Units [Oct 17, 2013]:

      We are fully top to bottom in 28 nanometer now across all of our products, and we are transitioning to both 20 nanometer and to FinFETs over the next couple of quarters in terms of designs. … [Regarding] the SeaMicro business, we are very pleased with the pipeline that we have there. Verizon was the first major datacenter win that we can talk about publicly. We have been working that relationship for the last two years. …

      We’re very excited about the server space. It’s a very good market. It’s a market where there is a lot of innovation and change. In terms of 64-bit ARM, you will see us sampling that product in the first quarter of 2014. That development is on schedule and we’re excited about that. All of the customer discussions have been very positive and then we will combine both the [?x86 and the?]64-bit ARM chip with our SeaMicro servers that will have full solution as well. You will see SeaMicro plus ARM in 2014.

      So I think we view this combination of IP as really beneficial to accelerating the dense server market both on the chip side and then also on the solution side with the customer set.

      AMD SeaMicro has been extensively working with key platform software vendors, especially in the open source space:

      image

      The current state of that collaboration is reflected in the corresponding numbered sections coming after the detailed discussion (given below before the numbered sections):

      1. Verizon (as its first big name cloud customer, actually not using OpenStack)
      2. OpenStack (inc. Rackspace, excl. Red Hat)
      3. Red Hat
      4. Ubuntu
      5. Big Data, Hadoop


      So let’s take a detailed look at the major topic:

      AMD in the Demo Theater [OpenStack Foundation YouTube channel, May 8, 2013]

      AMD presented its demo at the April 2013 OpenStack Summit in Portland, OR. For more summit videos, visit: http://www.openstack.org/summit/portland-2013/session-videos/
      Note that the OpenStack Quantum networking project was renamed Neutron after April, 2013. Details on the OpenStack effort will be provided later in the post.

      Rory Read – AMD President and CEO [Oct 30, 2012]:

      That SeaMicro Freedom™ fabric is ultimately very-very important. It is the only production ready, production tested supercompute fabric on the planet.

      Lisa Su – AMD Senior Vice President and General Manager, Global Business Units [Oct 30, 2012]:

      The biggest change in the datacenter is that there is no one size fits all. So we will offer ARM-based CPUs with our fabric. We will offer x86-based CPUs with our fabric. And we will also look at opportunities where we can merge the CPU technology together with graphics compute in an APU form-factor that will be very-very good for specific workloads in servers as well. So AMD will be the only company that’s able to offer the full range of compute horsepower with the right workloads in the datacenter.

      AMD makes ARM Cortex-A57 64bit Server Processor [Charbax YouTube channel, Oct 30, 2012]

      AMD has announced that they are launching a new ARM Cortex-A57 64bit ARMv8 Processor in 2014, targetted for the servers market. This is an interview with Andrew Feldman, VP and GM of Data Center Server Solutions Group at AMD, founder of SeaMicro now acquired by AMD.

      From AMD Changes Compute Landscape as the First to Bridge Both x86 and ARM Processors for the Data Center [press release, Oct 29, 2012]

      This strategic partnership with ARM represents the next phase of AMD’s strategy to drive ambidextrous solutions in emerging mega data center solutions. In March, AMD announced the acquisition of SeaMicro, the leader in high-density, energy-efficient servers. With this announcement, AMD will integrate the AMD SeaMicro Freedom fabric across its leadership AMD Opteron x86- and ARM technology-based processors that will enable hundreds, or even thousands of processor clusters to be linked together to provide the most energy-efficient solutions.

      AMD ARM Oct 29, 2012 Full length presentation [Manny Janny YouTube channel, Oct 30, 2012]

      I do not have any affiliation with AMD or ARM. This video is posted to provide the general public with information and provide an area for comments
      Rory Read – AMD President and CEO: [3:27] That SeaMicro Freedom™ fabric is ultimately very-very important in this announcement. It is the only production ready, production tested supercompute fabric on the planet. [3:41]
      Lisa Su – Senior Vice President and General Manager, Global Business Units: [13:09] The biggest change in the datacenter is that there is no one size fits all. So we will offer ARM-based CPUs with our fabric. We will offer x86-based CPUs with our fabric. And we will also look at opportunities where we can merge the CPU technology together with graphics compute in an APU form-factor that will be very-very good for specific workloads in servers as well. So AMD will be the only company that’s able to offer the full range of compute horsepower with the right workloads in the datacenter [13:41]

      From AMD to Acquire SeaMicro: Accelerates Disruptive Server Strategy [press release, Feb 29, 2012]

      AMD (NYSE: AMD) today announced it has signed a definitive agreement to acquire SeaMicro, a pioneer in energy-efficient, high-bandwidth microservers, for approximately $334 million, of which approximately $281 million will be paid in cash. Through the acquisition of SeaMicro, AMD will be accelerating its strategy to deliver disruptive server technology to its OEM customers serving cloud-centric data centers. With SeaMicro’s fabric technology and system-level design capabilities, AMD will be uniquely positioned to offer industry-leading server building blocks tuned for the fastest-growing workloads such as dynamic web content, social networking, search and video. …
      … “Cloud computing has brought a sea change to the data center–dramatically altering the economics of compute by changing the workload and optimal characteristics of a server,” said Andrew Feldman, SeaMicro CEO, who will become general manager of AMD’s newly created Data Center Server Solutions business. “SeaMicro was founded to dramatically reduce the power consumed by servers, while increasing compute density and bandwidth.  By becoming a part of AMD, we will have access to new markets, resources, technology, and scale that will provide us with the opportunity to work tightly with our OEM partners as we fundamentally change the server market.”

      ARM TechCon 2012 SoC Partner Panel: Introducing the ARM Cortex-A50 Series [ARMflix YouTube channel, recorded on Oct 30, published on Nov 13, 2012]

      Moderator: Simon Segars EVP and GM, Processor and Physical IP Divisions ARM Panelists: Andrew Feldman Corporate VP & GM, Data Center Server Solutions (need to confirm his title with AMD) AMD Martyn Humphries VP & General Manager, Mobile Applications Group Broadcom Karl Freund VP, Marketing Calxeda** John Kalkman VP, Marketing Samsung Semiconductor Bob Krysiak EVP and President of the Americas Region STMicroelectronics
      ** Note that nearly 14 months later, on Dec 19, 2013 Calxeda ran out of its ~$100M venture capital accumulated earlier. As the company was not able to secure further funding it shut down its operation by dismissing most of its employees (except 12 workers serving existing customers) and went into “restructuring” with just putting on their company website: “We will update you as we conclude our restructuring process”. This is despite of the kind of pioneering role the company had, especially with HP’s Moonshot and CloudSystem initiatives, and the relatively short term promise of delivering its server cartridge to HP’s next-gen Moonshot enclosure as was well reflected in my Software defined server without Microsoft: HP Moonshot [‘Experiencing the Cloud’, April 10, Dec 6, 2013] post. The major problem was that “it tried to get to market with 32-bit chip technology, at a time most x86 servers boast 64-bit technology … [and as] customers and software companies weren’t willing to port their software to run on 32-bit systems” – reported the Wall Street Journal. I would also say that AMD’s “only production ready, production tested supercompute fabric on the planet” (see AMD Rory’s statement already given above) with its upcoming “Seattle” 64-bit ARM SoC to be on track for delivery in H2 CY14 was another major reason for the lack of additional venture funds to Calxeda.

      AMD’s 64-bit “Seattle” ARM processor brings best of breed hardware and software to the data center [AMD Business blog, Dec 12, 2013]

      Going into 2014, the server market is set to face the biggest disruption since AMD launched the 64-bit x86 AMD Opteron™ processor – the first 64-bit x86 processor – in 2003. Processors based on ARM’s 64-bit ARMv8 architecture will start to appear next year, and just like the x86 AMD Opteron™ processors a decade ago, AMD’s ARM 64-bit processors will offer enterprises a viable option for efficiently handling vast amounts of data.

      image

      From: AMD Unveils Server Strategy and Roadmap [press release June 18, 2013]

      These forthcoming AMD Opteron™ processors bring important innovations to the rapidly changing compute market, including integrated CPU and GPU compute (APU); high core-count ARM servers for high-density compute in the data center; and substantial improvements in compute per-watt per-dollar and total cost of ownership.
      “Our strategy is to differentiate ourselves by using our unique IP to build server processors that are particularly well matched to a target workload and thereby drive down the total cost of owning servers. This strategy unfolds across both the enterprise and data centers and includes leveraging our graphics processing capabilities and embracing both x86 and ARM instruction sets,” said Andrew Feldman, general manager of the Server Business Unit, AMD. “AMD led the world in the transition to multicore processors and 64-bit computing, and we intend to do it again with our next-generation AMD Opteron families.”
      In 2014, AMD will set the bar in power-efficient server compute with the industry’s premier ARM server CPU. The 64-bit CPU, code named “Seattle,” is based on ARM Cortex-A57 cores and is expected to provide category-leading throughput as well as setting the bar in performance-per-watt. AMD will also deliver a best-in-class APU, code named “Berlin.” “Berlin” is an x86 CPU and APU, based on a new generation of cores namedSteamroller.”  Designed to double the performance of the recently available “Kyoto” part, “Berlin” will offer extraordinary compute-per-watt that will enable massive rack density. The third processor announced today is code named “Warsaw,” AMD’s next-generation 2P/4P offering. It is optimized to handle the heavily virtualized workloads found in enterprise environments including the more complex compute needs of data analytics, xSQL and traditional databases. “Warsaw” will provide significantly improved performance-per-watt over today’s AMD Opteron 6300 family. 
      Seattle
      “Seattle” will be the industry’s only 64-bit ARM-based server SoC from a proven server processor supplier.  “Seattle” is an 8- and then 16-core CPU based on the ARM Cortex-A57 core and is expected to run at or greater than 2 GHz.  The “Seattle” processor is expected to offer 2-4X the performance of AMD’s recently announced AMD Opteron X-Series processor with significant improvement in compute-per-watt.  It will deliver 128GB DRAM support, extensive offload engines for better power efficiency and reduced CPU loading, server caliber encryption, and compression and legacy networking including integrated 10GbE.  It will be the first processor from AMD to integrate AMD’s advanced Freedom™ Fabric for dense compute systems directly onto the chip. AMD plans to sample “Seattle” in the first quarter of 2014 with production in the second half of the year.
      Berlin
      Berlin” is an x86-based processor that will be available both as a CPU and APU. The processor boasts four next-generation “Steamroller” cores and will offer almost 8X the gigaflops per-watt compared to current AMD Opteron™ 6386SE processor.  It will be the first server APU built on AMD’s revolutionary Heterogeneous System Architecture (HSA), which enables uniform memory access for the CPU and GPU and makes programming as easy as C++. “Berlin” will offer extraordinary compute per-watt that enables massive rack density. It is expected to be available in the first half of 2014
      Warsaw
      Warsaw” is an enterprise server CPU optimized to deliver unparalleled performance and total cost of ownership for two- and four-socket servers.  Designed for enterprise workloads, it will offer improved performance-per-watt, which drives down the cost of owning a “Warsaw”-based server while enabling seamless migration from the AMD Opteron 6300 Series family.  It is a fully compatible socket with identical software certifications, making it ideal for the AMD Open 3.0 Server – the industry’s most cost effective Open Compute platform.  It is expected to be available in the first quarter of 2014.

      Note that AMD Details Embedded Product Roadmap [press release, Sept, 9, 2013] as well in which there is also a:

      “Hierofalcon” CPU SoC
      “Hierofalcon” is the first 64-bit ARM-based platform from AMD targeting embedded data center applications, communications infrastructure and industrial solutions. It will include up to eight ARM Cortex™-A57 CPUs expected to run up to 2.0 GHz, and provides high-performance memory with two 64-bit DDR3/4 channels with error correction code (ECC) for high reliability applications. The highly integrated SoC includes 10 Gb KR Ethernet and PCI-Express Gen 3 for high-speed network connectivity, making it ideal for control plane applications. The “Hierofalcon” series also provides enhanced security with support for ARM TrustZone® technology and a dedicated cryptographic security co-processor, aligning to the increased need for networked, secure systems. “Hierofalcon” is expected to be sampling in the second quarter of 2014 with production in the second half of the year.

      image

      The AMD Opteron processor came at a time when x86 processors were seen by many as silicon that could only power personal computers, with specialized processors running on architectures such as SPARC™ and Power™ being the ones that were handling server workloads. Back in 2003, the AMD Opteron processor did more than just offer another option, it made the x86 architecture a viable contender in the server market – showing that processors based on x86 architectures could compete effectively against established architectures. Thanks in no small part to the AMD Opteron processor, today the majority of servers shipped run x86 processors.

      In 2014, AMD will once again disrupt the datacenter as x86 processors will be joined by those that make use of ARM’s 64-bit architecture. Codenamed “Seattle,” AMD’s first ARM-based Opteron processor will use the ARMv8 architecture, offering low-power processing in the fast growing dense server space.

      To appreciate what the first ARM-based AMD Opteron processor is designed to deliver to those wanting to deploy racks of servers, it is important to realize that the ARMv8 architecture offers a clean slate on which to build both hardware and software.

      ARM’s ARMv8 architecture is much more than a doubling of word-length from previous generation ARMv7 architecture: it has been designed from the ground-up to provide higher performance while retaining the trademark power efficiencies that everyone has come to expect from the ARM architecture. AMD’s “Seattle” processors will have either four or eight cores, packing server-grade features such as support for up to 128 GB of ECC memory, and integrated 10Gb/sec of Ethernet connectivity with AMD’s revolutionary Freedom™ fabric, designed to cater for dense compute systems.

      From: AMD Delivers a New Generation of AMD Opteron and Intel Xeon “Ivy Bridge” Processors in its New SeaMicro SM15000 Micro Server Chassis [press release, Sept 10, 2012]

      With the new AMD Opteron processor, AMD’s SeaMicro SM15000 provides 512 cores in a ten rack unit system with more than four terabytes of DRAM and supports up to five petabytes of Freedom Fabric Storage. Since AMD’s SeaMicro SM15000 server is ten rack units tall, a one-rack, four-system cluster provides 2,024 cores, 16 terabytes of DRAM, and is capable of supporting 20 petabytes of storage.  The new and previously unannounced AMD Opteron processor is a custom designed octal core 2.3 GHz part based on the new “Piledriver” core, and supports up to 64 gigabytes of DRAM per CPU. The SeaMicro SM15000 system with the new AMD Opteron processor sets the high watermark for core density for micro servers.
      Configurations based on the AMD Opteron processor and Intel Xeon Processor E3-1265Lv2 (“Ivy Bridge” microarchitecture) will be available in November 2012. …

      image

      AMD off-chip interconnect fabric IP designed to enable significantly lower TCO

      • Links hundreds –> thousands of SoC modules

      • Shares hundreds of TBs storage and virtualizes I/O

      • 160Gbps Ethernet Uplink

      • Instruction Set:
      – x86
      – ARM (coming in 2014 when the fabric will be integrated into the SoCs as well, including the x86 SoCs)

      From: SM15000-OP: 64 Octal Core Servers
      with AMD Opteron™ processors (2.0/2.3/2.8 GHz, 8 “Piledriver” cores)

      image

      Freedom™ ASIC 2.0 – Industry’s only Second Generation Fabric Technology
      The Freedom™ ASIC is the building block of SeaMicro Fabric Compute Systems, enabling interconnection of energy efficient servers in a 3-dimensional Torus Fabric. The second generation Freedom ASIC includes high performance network interfaces, storage connectivity, and advanced server management, thereby eliminating the need for multiple sets of network adapters, HBAs, cables, and switches. This results in unmatched density, energy efficiency, and lowered TCO. Some of the key technologies in ASIC 2.0 include:
      • SeaMicro Input/Output Virtualization Technology (IOTV™) eliminates all but three components from SeaMicro’s motherboard—CPU, DRAM, and the ASIC itself—thereby shrinking the motherboard, while reducing power, cost and space.
      • SeaMicro new TIO™ (Turn It Off) technology enables SeaMicro to further power-optimize the mini motherboard by turning off unneeded CPU and chipset functions. Together, SeaMicro’s I/O Virtualization Technology and TIO technology produce the smallest and most power efficient server motherboards available.
      • SeaMicro Freedom Supercompute Fabric built of multiple Freedom ASICs working together, creating a 1.28 terabits per-second fabric that ties together 64 of the power-optimized mini-motherboards at low latency and low power with massive bandwidth.
      • SeaMicro Freedom Fabric Storage technology allows the Freedom supercompute fabric to extend out of the chassis and across the data center linking not just components inside the chassis, but also those outside as well.

      image

      Unified Management – Easily Provision and Manage Servers, Network, and Storage Resources on Demand
      The SeaMicro SM15000 implements a rich management system providing unified management of servers, network, and storage. Resources can be rapidly deployed, managed, and repurposed remotely, enabling lights-off data center operations. It offers a broad set of management API including an industry standard CLI, SNMP, IPMI, syslog, and XEN APIs, allowing customers to seamlessly integrate the SeaMicro SM15000 into existing data center management environments.
      Redundancy and Availability – Engineered from the Ground Up to Eliminate Single Points of Failure
      The SeaMicro SM15000 is designed for the most demanding environments, helping to ensure availability of compute, network, storage, and system management. At the heart of the system is the Freedom Fabric, interconnecting all resources in the system, with the ability to sustain multiple points of failure and allow live component servicing. All active components in the system can be configured redundant and are hot-swappable, including server cards, network uplink cards, storage controller cards, system management cards, disks, fan trays, and power supplies. Key resources can also be configured to be protected in the following ways:
      Compute – A shared spare server can be configured to act as a standby spare for multiple primary servers. In the event of failure, the primary server’s personality, including MAC address, assigned disks, and boot configuration can be migrated to the standby spare and brought back online – ensuring fast restoration of services from a remote location.
      Network – The highly available fabric ensures network connectivity is maintained between servers and storage in the event of path failure. For uplink high-availability, the system can be configured with multiple uplink modules and port channels providing redundant active/active interfaces.
      Storage – The highly available fabric ensures that servers can access fabric storage in the event of failures. The fabric storage system also provides an efficient, high utilization optional hardware RAID to protect data in case of disk failure.


      The Industry’s First Data Center in a Box

      AMD’s SeaMicro SM15000 family of Fabric Compute Systems provides the equivalent of 32 1RU dual socket servers, massive bandwidth, top of rack Ethernet switching, and high capacity shared storage, with centralized management in a small, compact 10RU form factor. In addition, it provides an integrated server console management for unified management. The SeaMicro SM15000 dramatically reduces CAPEX and significantly reduces the ongoing OPEX of deploying discreet compute, networking, storage, and management systems.
      More information:
      An Overview of AMD|SeaMicro Technology [Anil Rao from AMD|SeaMicro, October 2012]
      System Overview for the SM15000 Family [Anil Rao from AMD|SeaMicro, October 2012]
      What a Difference 0.09 Percent Makes [The Wave Newsletter from AMD, September 2013]
      Today’s cloud services have helped companies consolidate infrastructure and drive down costs, however, recent service interruptions point to a big downside of relying on public cloud service. Most are built using commodity, off-the-shelf servers to save costs and are standardized around the same computing and storage SLAs of 99.95 and 99.9 percent. This is significantly lower than the four nine availability standard in the data networking world. Leading companies are realizing that the performance and reliability of their applications is inextricably linked to their underlying server architecture. In this issue, we discuss the strategic importance of selecting the right hardware. Whether building an enterprise-caliber cloud service or implementing Apache™ Hadoop® to process and analyze big data, hardware matters.
      more >
      Where Does Software End and Hardware Begin? [The Wave Newsletter from AMD, September 2013]
      Lines are blurring between software and hardware with some industry leaders choosing to own both. Software companies are realizing that the performance and value of their software depends on their hardware choices.  more >
      Improving Cloud Service Resiliency with AMD’s SeaMicro Freedom Fabric [The Wave Newsletter from AMD, December 2013]
      Learn why AMD’s SeaMicro Freedom™ Fabric ASIC is the server industry’s first viable solution to cost-effectively improve the resiliency and availability of cloud-based services.

      We realize that having an impressive set of hardware features in the first ARM-based Opteron processors is half of the story, and that is why we are hard at work on making sure the software ecosystem will support our cutting edge hardware. Work on software enablement has been happening throughout the stack – from the UEFI, to the operating system and onto application frameworks and developer tools such as compilers and debuggers. This ensures that the software will be ready for ARM-based servers.

      AMD developing Linux on ARM at Linaro Connect 2013 [Charbax YouTube channel, March 11, 2013]

      [Recorded at Linaro Connect Asia 2013, March 4-8, 2013] Dr. Leendert van Doorn, Corporate Fellow at AMD, talks about what AMD does with Linaro to optimize Linux on ARM. He talks about the expectations that AMD has for results to come from Linaro in terms of achieving a better and more fully featured Linux world on ARM, especially for the ARM Cortex-A57 ARMv8 processor that AMD has announced for the server market.

      AMD’s participation in software projects is well documented, being a gold member of the Linux Foundation, the organization that manages the development of the Linux kernel, and a group member of Linaro. AMD is a gold sponsor of the Apache Foundation, which oversees projects such as Hadoop, HTTP Server and Samba among many others, and the company’s engineers are contributors to the OpenJDK project. This is just a small selection of the work AMD is taking part in, and these projects in particular highlight how important AMD feels that open source software is to the data center, and in particular micro servers, that make use of ARM-based processors.

      And running ARM-based processors doesn’t mean giving up on the flexibility of virtual machines, with KVM already ported to the ARMv8 architecture. Another popular hypervisor, Xen, is already available for 32-bit ARM architectures with a 64-bit port planned, ensuring that two popular and highly capable hypervisors will be available.

      The Linux kernel has supported 64-bit ARMv8 architecture since Linux 3.7, and a number of popular Linux distributions have already signaled their support for the architecture including Canonical’s Ubuntu and the Red Hat sponsored Fedora distribution. In fact there is a downloadable, bootable Ubuntu distribution available in anticipation for ARMv8-based processors.

      It’s not just operating systems and applications that are available. Developer tools such as the extremely popular open source GCC compiler and the vital GNU C Library (Glibc) have already been ported to the ARMv8 architecture and are available for download. With GCC and Glibc good to go, a solid foundation for developers to target the ARMv8 architecture is forming.

      All of this work on both hardware and software should shed some light on just how big ARM processors will be in the data center. AMD, an established enterprise semiconductor vendor, is uniquely placed to ship both 64-bit ARMv8 and 64-bit x86 processors that enable “mixed rack” environments. And thanks to the army of software engineers at AMD, as well as others around the world who have committed significant time and effort, the software ecosystem will be there to support these revolutionary processors. 2014 is set to see the biggest disruption in the data center in over a decade, with AMD again at the center of it.

      Lawrence Latif is a blogger and technical communications representative at AMD. His postings are his own opinions and may not represent AMD’s positions, strategies or opinions. Links to third party sites, and references to third party trademarks, are provided for convenience and illustrative purposes only. Unless explicitly stated, AMD is not responsible for the contents of such links, and no third party endorsement of AMD or any of its products is implied.

      End of AMD’s 64-bit “Seattle” ARM processor brings best of breed hardware and software to the data center [AMD Business blog, Dec 12, 2013]

      AMD at ARM Techcon 2013 [Charbax YouTube channel, recorded at the ARM Techcon 2013 (Oct 29-31), published on Dec 25, 2013]

      AMD in 2014 will be delivering a 64bit ARM processor for servers. The ARM Architecture and Ecosystem enables servers to achieve greater performance per watt and greater performance per dollar. The code name for the product is Seattle. AMD Seattle is expected to reach mass market cloud servers in the second half of 2014.

      From: Advanced Micro Devices’ CEO Discusses Q3 2013 Results – Earnings Call Transcript [Seeking Alpha, Oct 17, 2013]

      Rory Read – President and CEO:

      The three step turnaround plan we outlined a year ago to restructure, accelerate and ultimately transform AMD is clearly paying off. We completed the restructuring phase of our plan, maintaining cash at optimal levels and beating our $450 million quarterly operating expense goal in the third quarter. We are now in the second phase of our strategy – accelerating our performance by consistently executing our product roadmap while growing our new businesses to drive a return to profitability and positive free cash flow.
      We are also laying the foundation for the third phase of our strategy, as we transform AMD to compete across a set of high growth markets. Our progress on this front was evident in the third quarter as we generated more than 30% of our revenue from our semi-custom and embedded businesses. Over the next two years we will continue to transform AMD to expand beyond a slowing, transitioning PC industry, as we create a more diverse company and look to generate approximately 50% of our revenue from these new high growth markets.

      We have strategically targeted that semi-custom, ultra-low power client, embedded, dense server and the professional graphics market where we can offer differentiated products that leverage our APU and graphics IP. Our strategy allows us to continue to invest in the product that will drive growth, while effectively managing operating expenses. …

      … Several of our growth businesses passed key milestones in the third quarter. Most significantly, our semi-custom business ramped in the quarter. We successfully shipped millions of units to support Sony and Microsoft, as they prepared to launch their next-generation game consoles. Our game console wins are generating a lot of customer interest, as we demonstrate our ability to design and reliably ramp production on two of the most complex SOCs ever built for high-volume consumer devices. We have several strong semi-custom design opportunities moving through the pipeline as customers look to tap into AMD’s IP, design and integration expertise to create differentiated winning solutions. … it’s our intention to win and mix in a whole set semicustom offerings as we build out this exciting and important new business.
      We made good progress in our embedded business in the third quarter. We expanded our current embedded SOC offering and detailed our plans to be the only company to offer both 64-bit x86 and ARM solutions beginning in 2014. We have developed a strong embedded design pipeline which, we expect, will drive further growth for this business across 2014.
      We also continue to make steady progress in another of our growth businesses in the third quarter, as we delivered our fifth consecutive quarter of revenue and share growth in the professional graphics area. We believe we can continue to gain share in this lucrative part of the GPU market, based on our product portfolio, design wins [in place] [ph] and enhanced channel programs.

      In the server market, the industry is at the initial stages of a multiyear transition that will fundamentally change the competitive dynamic. Cloud providers are placing a growing importance on how they get better performance from their datacenters while also reducing the physical footprint and power consumption of their server solution.

      This will become the defining metric of this industry and will be a key growth driver for the market and the new AMD. AMD is leading this emerging trend in the server market and we are committed to defining a leadership position.

      Earlier this quarter, we had a significant public endorsement of our dense server strategy as Verizon announced a high performance public cloud that uses our SeaMicro technology and Opteron processor. We remain on track to introduce new, low-power X86 and 64-bit ARM processors next year and we believe we will offer the industry leading ARM-based servers. …

      Two years ago we were 90% to 95% of our business centered over PCs and we’ve launched the clear strategy to diversify our portfolio taking our IT — leadership IT and Graphics and CPU and taking it into adjacent segment where there is high growth for three, five, seven years and stickier opportunities.
      We see that as an opportunity to drive 50% or more of our business over that time horizon. And if you look at the results in the third quarter, we are already seeing the benefits of that opportunity with over 30% of our revenue now coming from semi-custom and our embedded businesses.
      We see it is an important business in PC, but its time is changing and the go-go era is over. We need to move and attack the new opportunities where the market is going, and that’s what we are doing.

      Lisa Su – Senior Vice President and General Manager, Global Business Units:

      We are fully top to bottom in 28 nanometer now across all of our products, and we are transitioning to both 20 nanometer and to FinFETs over the next couple of quarters in terms of designs. We will do 20 nanometer first, and then we will go to FinFETs. …

      game console semicustom product is a long life cycle product over five to seven years. Certainly when we look at cost reduction opportunities, one of the important ones is to move technology nodes. So we will in this timeframe certainly move from 28 nanometer to 20 nanometer and now the reason to do that is both for pure die cost savings as well as all the power savings that our customer benefits from. … so expect the cost to go down on a unit basis as we move to 20.

      [Regarding] the SeaMicro business, we are very pleased with the pipeline that we have there. Verizon was the first major datacenter win that we can talk about publicly. We have been working that relationship for the last two years. So it’s actually nice to be able to talk about it. We do see it as a major opportunity that will give us revenue potential in 2014. And we continue to see a strong pipeline of opportunities with SeaMicro as more of the datacenter guys are looking at how to incorporate these dense servers into their new cloud infrastructures. …

      … As I said the Verizon engagement has lasted over the past two years. So some of the initial deployments were with the Intel processors but we do have significant deployments with AMD Opteron as well. We do see the percentage of Opteron processors increasing because that’s what we’d like to do. …

      We’re very excited about the server space. It’s a very good market. It’s a market where there is a lot of innovation and change. In terms of 64-bit ARM, you will see us sampling that product in the first quarter of 2014. That development is on schedule and we’re excited about that. All of the customer discussions have been very positive and then we will combine both the [?x86 and the?]64-bit ARM chip with our SeaMicro servers that will have full solution as well. You will see SeaMicro plus ARM in 2014.

      So I think we view this combination of IP as really beneficial to accelerating the dense server market both on the chip side and then also on the solution side with the customer set.

      Amazon’s James Hamilton: Why Innovation Wins [AMD SeaMicro YouTube channel, Nov 12, 2012] video which was included into the Headline News and Events section of Volume 1, December 2012 of The Wave Newsletter from AMD SeaMicro with the following intro:

      James Hamilton, VP and Distinguished Engineer at Amazon called AMD’s co-announcement with ARM to develop 64-bit ARM technology-based processors “A great day for the server ecosystem.” Learn why and hear what James had to say about what this means for customers and the broader server industry.

      James Hamilton of Amazon discusses the four basic tenants of why he thinks data center server innovation needs to go beyond just absolute performance. He believes server innovation delivering improved volume economics, storage performance, price/performance and power/performance will win in the end.

      AMD Changes Compute Landscape as the First to Bridge Both x86 and ARM Processors for the Data Center [press release, Oct 29, 2012]

      Company to Complement x86-based Offerings with New Processors Based on ARM 64-bit Technology, Starting with Server Market

      SUNNYVALE, Calif. —10/29/2012

      In a bold strategic move, AMD (NYSE: AMD) announced that it will design 64-bit ARM® technology-based processors in addition to its x86 processors for multiple markets, starting with cloud and data center servers. AMD’s first ARM technology-based processor will be a highly-integrated, 64-bit multicore System-on-a-Chip (SoC) optimized for the dense, energy-efficient servers that now dominate the largest data centers and power the modern computing experience. The first ARM technology-based AMD Opteron™ processor is targeted for production in 2014 and will integrate the AMD SeaMicro Freedom™ supercompute fabric, the industry’s premier high-performance fabric.

      AMD’s new design initiative addresses the growing demand to deliver better performance-per-watt for dense cloud computing solutions. Just as AMD introduced the industry’s first mainstream 64-bit x86 server solution with the AMD Opteron processor in 2003, AMD will be the only processor provider bridging the x86 and 64-bit ARM ecosystems to enable new levels of flexibility and drive optimal performance and power-efficiency for a range of enterprise workloads.

      “AMD led the data center transition to mainstream 64-bit computing with AMD64, and with our ambidextrous strategy we will again lead the next major industry inflection point by driving the widespread adoption of energy-efficient 64-bit server processors based on both the x86 and ARM architectures,” said Rory Read, president and chief executive officer, AMD. “Through our collaboration with ARM, we are building on AMD’s rich IP portfolio, including our deep 64-bit processor knowledge and industry-leading AMD SeaMicro Freedom supercompute fabric, to offer the most flexible and complete processing solutions for the modern data center.”

      “The industry needs to continuously innovate across markets to meet customers’ ever-increasing demands, and ARM and our partners are enabling increasingly energy-efficient computing solutions to address these needs,” said Warren East, chief executive officer, ARM. “By collaborating with ARM, AMD is able to leverage its extraordinary portfolio of IP, including its AMD Freedom supercompute fabric, with ARM 64-bit processor cores to build solutions that deliver on this demand and transform the industry.”

      The explosion of the data center has brought with it an opportunity to optimize compute with vastly different solutions. AMD is providing a compute ecosystem filled with choice, offering solutions based on AMD Opteron x86 CPUs, new server-class Accelerated Processing Units (APUs) that leverage Heterogeneous Systems Architecture (HSA), and new 64-bit ARM-based solutions.

      This strategic partnership with ARM represents the next phase of AMD’s strategy to drive ambidextrous solutions in emerging mega data center solutions. In March, AMD announced the acquisition of SeaMicro, the leader in high-density, energy-efficient servers. With this announcement, AMD will integrate the AMD SeaMicro Freedom fabric across its leadership AMD Opteron x86- and ARM technology-based processors that will enable hundreds, or even thousands of processor clusters to be linked together to provide the most energy-efficient solutions.

      “Over the past decade the computer industry has coalesced around two high-volume processor architectures – x86 for personal computers and servers, and ARM for mobile devices,” observed Nathan Brookwood, research fellow at Insight 64. “Over the next decade, the purveyors of these established architectures will each seek to extend their presence into market segments dominated by the other. The path on which AMD has now embarked will allow it to offer products based on both x86 and ARM architectures, a capability no other semiconductor manufacturer can likely match.”

      At an event hosted by AMD in San Francisco, representatives from Amazon, Dell, Facebook and Red Hat participated in a panel discussion on opportunities created by ARM server solutions from AMD. A replay of the event can be found here as of 5 p.m. PDT, Oct. 29.

      Supporting Resources

      • AMD bridges the x86 and ARM ecosystems for the data center announcement press resources
      • Follow AMD on Twitter at @AMD
      • Follow the AMD and ARM announcement on Twitter at #AMDARM
      • Like AMD on Facebook.

      AMD SeaMicro SM15000 with Freedom Fabric Storage [AMD YouTube channel, Sept 11, 2012]

      AMD Extends Leadership in Data Center Innovation – First to Optimize the Micro Server for Big Data [press release, Sept 10, 2012]

      AMD’s SeaMicro SM15000™ Server Delivers Hyper-efficient Compute for Big Data and Cloud Supporting Five Petabytes of Storage; Available with AMD Opteron™ and Intel® Xeon® “Ivy Bridge”/”Sandy Bridge” Processors
      SUNNYVALE, Calif. —9/10/2012
      AMD (NYSE: AMD) today announced the SeaMicro SM15000™ server, another computing innovation from its Data Center Server Solutions (DCSS) group that cements its position as the technology leader in the micro server category. AMD’s SeaMicro SM15000 server revolutionizes computing with the invention of Freedom™ Fabric Storage, which extends its Freedom™ Fabric beyond the SeaMicro chassis to connect directly to massive disk arrays, enabling a single ten rack unit system to support more than five petabytes of low-cost, easy-to-install storage. The SM15000 server combines industry-leading density, power efficiency and bandwidth with a new generation of storage technology, enabling a single rack to contain thousands of cores, and petabytes of storage – ideal for big data applications like Apache™ Hadoop™ and Cassandra™ for public and private cloud deployments.
      AMD’s SeaMicro SM15000 system is available today and currently supports the Intel® Xeon® Processor E3-1260L (“Sandy Bridge”). In November, it will support the next generation of AMD Opteron™ processors featuring the “Piledriver” core, as well as the newly announced Intel Xeon Processor E3-1265Lv2 (“Ivy Bridge”). In addition to these latest offerings, the AMD SeaMicro fabric technology continues to deliver a key building block for AMD’s server partners to build extremely energy efficient micro servers for their customers.
      “Historically, server architecture has focused on the processor, while storage and networking were afterthoughts. But increasingly, cloud and big data customers have sought a solution in which storage, networking and compute are in balance and are shared. In a legacy server, storage is a captive resource for an individual processor, limiting the ability of disks to be shared across multiple processors, causing massive data replication and necessitating the purchase of expensive storage area networking or network attached storage equipment,” said Andrew Feldman, corporate vice president and general manager of the Data Center Server Solutions group at AMD. “AMD’s SeaMicro SM15000 server enables companies, for the first time, to share massive amounts of storage across hundreds of efficient computing nodes in an exceptionally dense form factor. We believe that this will transform the data center compute and storage landscape.”
      AMD’s SeaMicro products transformed the data center with the first micro server to combine compute, storage and fabric-based networking in a single chassis. Micro servers deliver massive efficiencies in power, space and bandwidth, and AMD set the bar with its SeaMicro product that uses one-quarter the power, takes one-sixth the space and delivers 16 times the bandwidth of the best-in-class alternatives. With the SeaMicro SM15000 server, the innovative trajectory broadens the benefits of the micro server to storage, solving the most pressing needs of the data center.
      Combining the Freedom™ Supercompute Fabric technology with the pioneering Freedom™ Fabric Storage technology enables data centers to provide more than five petabytes of storage with 64 servers in a single ten rack unit (17.5 inch tall) SM15000 system. Once these disks are interconnected with the fabric, they are seen and shared by all servers in the system. This approach provides the benefits typically provided by expensive and complex solutions such as network-attached storage and storage area networking with the simplicity and low cost of direct attached storage
      “AMD’s SeaMicro technology is leading innovation in micro servers and data center compute,” said Zeus Kerravala, founder and principal analyst of ZK Research. “The team invented the micro server category, was the first to bring small-core servers and large-core servers to market in the same system, the first to market with a second-generation fabric, and the first to build a fabric that supports multiple processors and instruction sets. It is not surprising that they have extended the technology to storage. The bringing together of compute and petabytes of storage demonstrates the flexibility of the Freedom Fabric. They are blurring the boundaries of compute, storage and networking, and they have once again challenged the industry with bold innovation.”
      Leaders Across the Big Data Community Agree
      Dr. Amr Awadallah, CTO and Founder at Cloudera, the category leader that is setting the standard for Hadoop in the enterprise, observes: “The big data community is hungry for innovations that simplify the infrastructure for big data analysis while reducing hardware costs. As we hear from our vast big data partner ecosystem and from customers using CDH and
      Cloudera Enterprise, companies that are seeking to gain insights across all their data want their hardware vendors to provide low cost, high density, standards-based compute that connects to massive arrays of low cost storage. AMD’s SeaMicro delivers on this promise.”
      Eric Baldeschwieler, co-founder and CTO of Hortonworks and a pioneer in Hadoop technology, notes: “Petabytes of low cost storage, hyper-dense energy-efficient compute, connected with a supercompute-style fabric is an architecture particularly well suited for big data analytics and Hortonworks Data Platform. At Hortonworks, we seek to make Apache Hadoop easier to use, consume and deploy, which is in line with AMD’s goal to revolutionize and commoditize the storage and processing of big data. We are pleased to see leaders in the hardware community inventing technology that extends the reach of big data analysis.”
      Matt Pfeil, co-founder and VP of customer solutions at DataStax, the leader in real-time mission-critical big data platforms, agrees: “At DataStax, we believe that extraordinary databases, such as Cassandra, running mission-critical applications, can be used by nearly every enterprise. To see AMD’s DCSS group bringing together efficient compute and petabytes of storage over a unified fabric in a single low-cost, energy-efficient solution is enormously exciting. The combination of the SM15000 server and best-in-class database, Cassandra, offer a powerful threat to the incumbent makers of both databases and the expensive hardware on which they reside.”
      AMD’s SeaMicro SM15000™ Technology
      AMD’s SeaMicro SM15000 server is built around the industry’s first and only second-generation fabric, the Freedom Fabric. It is the only fabric technology designed and optimized to work with Central Processor Units (CPUs) that have both large and small cores, as well as x86 and non-x86 CPUs. Freedom Fabric contains innovative technology including:
      • SeaMicro IOVT (Input/Output Virtualization Technology), which eliminates all but three components from the SeaMicro motherboard – CPU, DRAM, and the ASIC itself – thereby shrinking the motherboard, while reducing power, cost and space;
      • SeaMicro TIO™ (Turn It Off) technology, which enables further power optimization on the mini motherboard by turning off unneeded CPU and chipset functions. Together, SeaMicro IOVT and TIO technology produce the smallest and most power efficient motherboards available;
      • Freedom Supercompute Fabric creates a 1.28 terabits-per-second fabric that ties together 64 of the power-optimized mini-motherboards at low latency and low power with massive bandwidth;
      • SeaMicro Freedom Fabric Storage, which allows the Freedom Supercompute Fabric to extend out of the chassis and across the data center, linking not just components inside the chassis, but those outside as well.
      AMD’s SeaMicro SM15000 Server Details
      AMD’s SeaMicro SM15000 server will be available with 64 compute cards, each holding a new custom-designed single-socket octal core 2.0/2.3/2.8 GHz AMD Opteron processor based on the “Piledriver” core, for a total of 512 heavy-weight cores per system or 2,048 cores per rack. Each AMD Opteron processor can support 64 gigabytes of DRAM, enabling a single system to handle more than four terabytes of DRAM and over 16 terabytes of DRAM per rack. AMD’s SeaMicro SM15000 system will also be available with a quad core 2.5 GHz Intel Xeon Processor E3-1265Lv2 (“Ivy Bridge”) for 256 2.5 GHz cores in a ten rack unit system or 1,024 cores in a standard rack. Each processor supports up to 32 gigabytes of memory so a single SeaMicro SM15000 system can deliver up to two terabytes of DRAM and up to eight terabytes of DRAM per rack.
      AMD’s SeaMicro SM15000 server also contains 16 fabric extender slots, each of which can connect to three different Freedom Fabric Storage arrays with different capacities:
      • FS 5084-L is an ultra-dense capacity-optimized storage system. It supports up to 84 SAS/SATA 3.5 inch or 2.5 inch drives in 5 rack units for up to 336 terabytes of capacity per-array and over five petabytes per SeaMicro SM15000 system;
      • FS 2012-L is a capacity-optimized storage system. It supports up to 12 3.5 inch or 2.5 inch drives in 2 rack units for up to 48 terabytes of capacity per-array or up to 768 terabytes of capacity per SeaMicro SM15000 system;
      • FS 2024-S is a performance-optimized storage system. It supports up to 24 2.5 inch drives in 2 rack units for up to 24 terabytes of capacity per-array or up to 384 terabytes of capacity per SM15000 system.

      In summary, AMD’s SeaMicro SM15000 system:

      • Stands ten rack units or 17.5 inches tall;
      • Contains 64 slots for compute cards for AMD Opteron or Intel Xeon processors;
      • Provides up to ten gigabits per-second of bandwidth to each CPU;
      • Connects up to 1,408 solid state or hard drives with Freedom Fabric Storage
      • Delivers up to 16 10 GbE uplinks or up to 64 1GbE uplinks;
      • Runs standard off-the-shelf operating systems including Windows®, Linux, Red Hat and VMware and Citrix XenServer hypervisors.
      Availability
      AMD’s SeaMicro SM15000 server with Intel’s Xeon Processor E3-1260L “Sandy Bridge” is now generally available in the U.S and in select international regions. Configurations based on AMD Opteron processors and Intel Xeon Processor E3-1265Lv2 with the “Ivy Bridge” microarchitecture will be available in November, 2012. More information on AMD’s revolutionary SeaMicro family of servers can be found at www.seamicro.com/products.


      1. Verizon

      Verizon Cloud on AMD’s SeaMicro SM15000 [AMD YouTube channel, Oct 7, 2013]

      Find out more about SeaMicro and AMD athttp://bit.ly/AMD_SeaMicro Verizon and AMD partner to create an enterprise-class cloud service that was not possible using off the shelf servers. Verizon Cloud is based on the SeaMicro SM15000, the industry’s first and only programmable server hardware. The new services redefine the benchmarks for public cloud computing and storage performance and reliability.

      Verizon Cloud Compute and Verizon Cloud Storage [The Wave Newsletter from AMD, December 2013]

      With enterprise adoption of public cloud services at 10 percent1, Verizon identified a need for a cloud service that was secure, reliable and highly flexible with enterprise-grade performance guarantees. Large, global enterprises want to take advantage of the agility, flexibility and compelling economics of the public cloud, but the performance and reliability are not up to par for their needs. To fulfill this need, Verizon spent over two years identifying and developing software using AMD’s SeaMicro SM15000, the industry’s first and only programmable server hardware. The new services redefine the benchmarks for public cloud computing and storage performance and security.

      Designed specifically for enterprise customers, the new services allow companies to use the same policies and procedures across the enterprise network and the public cloud. The close collaboration has resulted in cloud computing services with unheralded performance level guarantees that are offered with competitive pricing. The new cloud services are backed by the power of Verizon, including global data centers, global IP network and enterprise-grade managed security services. The performance and security innovations are expected to accelerate public cloud adoption by the enterprise for their mission critical applications. more >

      Verizon Selects AMD’s SeaMicro SM15000 for Enterprise Class Services: Verizon Cloud Compute and Verizon Cloud Storage [AMD-Seamicro press release, Oct 7, 2013]

      Verizon and AMD create technology that transforms the public cloud, delivering the industry’s most advanced cloud capabilities

      SUNNYVALE, Calif. —10/7/2013

      AMD (NYSE: AMD) today announced that Verizon is deploying SeaMicro SM15000™ servers for its new global cloud platform and cloud-based object storage service, whose public beta was recently announced. AMD’s SeaMicro SM15000 server links hundreds of cores together in a single system using a fraction of the power and space of traditional servers. To enable Verizon’s next generation solution, technology has been taken one step further: Verizon and AMD co-developed additional hardware and software technology on the SM15000 server that provides unprecedented performance and best-in-class reliability backed by enterprise-level service level agreements (SLAs). The combination of these technologies co-developed by AMD and Verizon ushers in a new era of enterprise-class cloud services by enabling a higher level of control over security and performance SLAs. With this technology underpinning the new Verizon Cloud Compute and Verizon Cloud Storage, enterprise customers can for the first time confidently deploy mission-critical systems in the public cloud.

      “We reinvented the public cloud from the ground up to specifically address the needs of our enterprise clients,” said John Considine, chief technology officer at Verizon Terremark. “We wanted to give them back control of their infrastructure – providing the speed and flexibility of a generic public cloud with the performance and security they expect from an enterprise-grade cloud. Our collaboration with AMD enabled us to develop revolutionary technology, and it represents the backbone of our future plans.”

      As part of its joint development, AMD and Verizon co-developed hardware and software to reserve, allocate and guarantee application SLAs. AMD’s SeaMicro Freedom™ fabric-based SM15000 server delivers the industry’s first and only programmable server hardware that includes a high bandwidth, low latency programmable interconnect fabric, and programmable data and control plane for both network and storage traffic. Leveraging AMD’s programmable server hardware, Verizon developed unique software to guarantee and deliver reliability, unheralded performance guarantees and SLAs for enterprise cloud computing services.

      “Verizon has a clear vision for the future of the public cloud services—services that are more flexible, more reliable and guaranteed,” said Andrew Feldman, corporate vice president and general manager, Server, AMD. “The technology we developed turns the cloud paradigm upside down by creating a service that an enterprise can configure and control as if the equipment were in its own data center. With this innovation in cloud services, I expect enterprises to migrate their core IT services and mission critical applications to Verizon’s cloud services.”

      “The rapid, reliable and scalable delivery of cloud compute and storage services is the key to competing successfully in any cloud market from infrastructure, to platform, to application; and enterprises are constantly asking for more as they alter their business models to thrive in a mobile and analytic world,” said Richard Villars, vice president, Datacenter & Cloud at IDC. “Next generation integrated IT solutions like AMD’s SeaMicro SM15000 provide a flexible yet high-performance platform upon which companies like Verizon can use to build the next generation of cloud service offerings.”

      Innovative Verizon Cloud Capabilities on AMD’s SeaMicro SM15000 Server Industry Firsts

      Verizon leveraged the SeaMicro SM15000 server’s ability to disaggregate server resources to create a cloud optimized for computing and storage services. Verizon and AMD’s SeaMicro engineers worked for over two years to create a revolutionary public cloud platform with enterprise class capabilities.

      These new capabilities include:

      • Virtual machine server provisioning in seconds, a fraction of the time of a legacy public cloud;
      • Fine-grained server configuration options that match real life requirements, not just small, medium, large sizing, including processor speed (500 MHz to 2,000 MHz) and DRAM (.5 GB increments) options;
      • Shared disks across multiple server instances versus requiring each virtual machine to have its own dedicated drive;
      • Defined storage quality of service by specifying performance up to 5,000 IOPS to meet the demands of the application being deployed, compared to best-effort performance;
      • Consistent network security policies and procedures across the enterprise network and the public cloud;
      • Strict traffic isolation, data encryption, and data inspection with full featured firewalls that achieve Department of Defense and PCI compliance levels;
      • Guaranteed network performance for every virtual machine with reserved network performance up to 500 Mbps compared to no guarantees in many other public clouds.

      The public beta for Verizon Cloud will launch in the fourth quarter. Companies interested in becoming a beta customer can sign up through the Verizon Enterprise Solutions website: www.verizonenterprise.com/verizoncloud.

      AMD’s SeaMicro SM15000 Server

      AMD’s SeaMicro SM15000 system is the highest-density, most energy-efficient server in the market. In 10 rack units, it links 512 compute cores, 160 gigabits of I/O networking, more than five petabytes of storage with a 1.28 terabyte high-performance supercompute fabric, called Freedom™ Fabric. The SM15000 server eliminates top-of-rack switches, terminal servers, hundreds of cables and thousands of unnecessary components for a more efficient and simple operational environment.

      AMD’s SeaMicro server product family currently supports the next generation AMD Opteron™ (“Piledriver”) processor, Intel® Xeon® E3-1260L (“Sandy Bridge”) and E3-1265Lv2 (“Ivy Bridge”) and Intel® Atom™ N570 processors. The SeaMicro SM15000 server also supports the Freedom Fabric Storage products, enabling a single system to connect with more than five petabytes of storage capacity in two racks. This approach delivers the benefits of expensive and complex solutions such as network attached storage (NAS) and storage area networking (SAN) with the simplicity and low cost of direct attached storage.

      For more information on the Verizon Cloud implementation, please visit: www.seamicro.com/vzcloud.

      About AMD

      AMD (NYSE: AMD) designs and integrates technology that powers millions of intelligent devices, including personal computers, tablets, game consoles and cloud servers that define the new era of surround computing. AMD solutions enable people everywhere to realize the full potential of their favorite devices and applications to push the boundaries of what is possible. For more information, visit www.amd.com.

      4:01 PM – 10 Dec 13:

      imageAMD SeaMicro@SeaMicroInc

      correction…Verizon is not using OpenStack, but they are using our hardware. @cloud_attitude


      2. OpenStack

      OpenStack 101 – What Is OpenStack? [Rackspace YouTube channel, Jan 14, 2013]

      OpenStack is an open source cloud operating system and community founded by Rackspace and NASA in July 2010. Here is a brief look at what OpenStack is, how it works and what people are doing with it. See: http://www.openstack.org/

      OpenStack: The Open Source Cloud Operating System

      Why OpenStack? [The Wave Newsletter from AMD, December 2013]

      OpenStack continues to gain momentum in the market as more and more, larger, established technology and service companies move from evaluation to deployment. But why has OpenStack become so popular? In this issue, we discuss the business drivers behind the widespread adoption and why AMD’s SeaMicro SM15000 server is the industry’s best choice for a successful OpenStack deployment. If you’re considering OpenStack, learn about the options and hear winning strategies from experts featured in our most recent OpenStack webcasts. And in case you missed it, read about AMD’s exciting collaboration with Verizon enabling them to offer enterprise-caliber cloud services. more >

      OpenStack the SeaMicro SM15000 – From Zero to 2,048 Cores in Less than One Hour [The Wave Newsletter from AMD, March 2013]

      The SeaMicro SM15000 is optimized for OpenStack, a solution that is being adopted by both public and private cloud operators. Red 5 Studios recently deployed OpenStack on a 48 foot bus to power their new massive multiplayer online game Firefall. The SM15000 uniquely excels for object storage, providing more than 5 petabytes of direct attached storage in two data center racks.  more >

      State of the Stack [OpenStack Foundation YouTube channel, recorded on Nov 8 under official title “Stack Debate: Understanding OpenStack’s Future”, published on Nov 9, 2013]

      OpenStack in three short years has become one of the most successful,most talked about and most community-driven Open Source projects inhistory.In this joint presentation Randy Bias (Cloudscaling) and Scott Sanchez (Rackspace) will examine the progress from Grizzly to Havana and delve into new areas like refstack, tripleO, baremetal/Ironic, the move from”projects” to “programs”, and AWS compatibility.They will show updated statistics on project momentum and a deep diveon OpenStack Orchestrate (Heat), which has the opportunity to changethe game for OpenStack in the greater private cloud game. The duo willalso highlight the challenges ahead of the project and what should bedone to avoid failure. Joint presenters: Scott Sanchez, Randy Bias

      The biggest issue with OpenStack project which “started without a benevolent dictator and/or architect” was mentioned there (watch from [6:40]) as a kind of: “The worst architectural decision you can make is stay with default networking for a production system because the default networking model in OpenStack is broken for use at scale”.

      Then Randy Bias summarized that particular issue later in Neutron in Production: Work in Progress or Ready for Prime Time? [Cloudscaling blog, Dec 6, 2013] as:

      Ultimately, it’s unclear whether all networking functions ever will be modeled behind the Neutron API with a bunch of plug-ins. That’s part of the ongoing dialogue we’re having in the community about what makes the most sense for the project’s future.

      The bottom-line consensus was is that Neutron is a work in progress. Vanilla Neutron is not ready for production, so you should get a vendor if you need to move into production soon.

      AMD’s SeaMicro SM15000 Is the First Server to Provide Bare Metal Provisioning to Scale Massive OpenStack Compute Deployments [press release, Nov 5, 2013]

      Provides Foundation to Leverage OpenStack Compute for Large Networks of Virtualized and Bare Metal Servers

      SUNNYVALE, Calif. and Hong Kong, OpenStack Summit —11/5/2013

      AMD (NYSE: AMD) today announced that the SeaMicro SM15000™ server supports bare metal features in OpenStack® Compute. AMD’s SeaMicro SM15000 server is ideally suited for massive OpenStack deployments by integrating compute, storage and networking into a 10 rack unit system. The system is built around the Freedom™ fabric, the industry’s premier supercomputing fabric for scale out data center applications. The Freedom fabric disaggregates compute, storage and network I/O to provide the most flexible, scalable and resilient data center infrastructure in the industry. This allows customers to match the compute performance, storage capacity and networking I/O to their application needs. The result is an adaptive data center where any server can be mapped to any hard disk/SSD or network I/O to expand capacity or recover from a component failure.

      “OpenStack Compute’s bare metal capabilities provide the scalability and flexibility to build and manage large-scale public and private clouds with virtualized and dedicated servers,” said Dhiraj Mallick, corporate vice president and general manager, Data Center Server Solutions, at AMD. “The SeaMicro SM15000 server’s bare metal provisioning capabilities should simplify enterprise adoption of OpenStack and accelerate mass deployments since not all work loads are optimized for virtualized environments.”

      Bare metal computing provides more predictable performance than a shared server environment using virtual servers. In a bare metal environment there are no delays caused by different virtual machines contending for shared resources, since the entire server’s resources are dedicated to a single user instance. In addition, in a bare metal environment the performance penalty imposed by the hypervisor is eliminated, allowing the application software to make full use of the processor’s capabilities

      In addition to leading in bare metal provisioning, AMD’s SeaMicro SM15000 server provides the ability to boot and install a base server image from a central server for massive OpenStack deployments. A cloud image containing the KVM, the OpenStack Compute image and other applications can be configured by the central server. The coordination and scheduling of this workflow can be managed by Heat, the orchestration application that manages the entire lifecycle of an OpenStack cloud for bare metal and virtual machines.

      Supporting Resources

      Scalable Fabric-based Object Storage with the SM15000 [The Wave Newsletter from AMD, March 2013]

      The SeaMicro SM15000 is changing the economics of deploying object storage, delivering the storage of unprecedented amounts of data while using 1/2 the power and 1/3 the space of traditional servers. more >

      SwiftStack with OpenStack Swift Overview [SwiftStack YouTube channel, Oct 4, 2012]

      SwiftStack manages and operates OpenStack Swift. SwiftStack is built from the ground up for web, mobile and as-a-service applications. Designed to store and serve content for many concurrent users, SwiftStack contains everything you need to set up, integrate and operate a private storage cloud on hardware that you control.

      AMD’s SeaMicro SM15000 Server Achieves Certification for Rackspace Private Cloud, Validated for OpenStack [press release, Jan 30, 2013]

      Providing unprecedented computing efficiency for “Nova in a Box” and object storage capacity for “Swift in a Rack


      3. Red Hat

      OpenStack + SM15000 Server = 1,000 Virtual Machines for Red Hat [The Wave Newsletter from AMD, June 2013]

      Red Hat deploys one SM15000 server to quickly and cost effectively build out a high capacity server cluster to meet the growing demands for OpenShift demonstrations and to accelerate sales. Red Hat OpenShift, which runs on Red Hat OpenStack, is Red Hat’s cloud computing Platform-as-a-Service (PaaS) offering. The service provides built-in support for nearly every open source programming language, including Node.js, Ruby, Python, PHP, Perl, and Java. OpenShift can also be expanded with customizable modules that allow developers to add other languages.
      more >

      Red Hat Enterprise Linux OpenStack Platform: Community-invented, Red Hat-hardened [RedHatCloud YouTube channel, Aug 5, 2013]

      Learn how Red Hat Enterprise Linux OpenStack Platform allows you to deploy a supported version of OpenStack on an enterprise-hardened Linux platform to build a massively scalable public-cloud-like platform for managing and deploying cloud-enabled workloads. With Red Hat Enterprise Linux OpenStack Platform, you can focus resources on building applications that add value to your organization, while Red Hat provides support for OpenStack and the Linux platform it runs on.

      AMD’s SeaMicro SM15000 Server Achieves Certification for Red Hat OpenStack [press release, June 12, 2013]

      BOSTON – Red Hat Summit —6/12/2013

      AMD (NYSE: AMD) today announced that its SeaMicro SM15000™ server is certified for Red Hat® OpenStack, and that the company has joined the Red Hat OpenStack Cloud Infrastructure Partner Network. The certification ensures that the SeaMicro SM15000 server provides a rigorously tested platform for organizations building private or public cloud Infrastructure as a Service (IaaS), based on the security, stability and support available with Red Hat OpenStack. AMD’s SeaMicro solutions for OpenStack include “Nova in a Box” and “Swift in a Rack” reference architectures that have been validated to ensure consistent performance, supportability and compatibility.

      The SeaMicro SM15000 server integrates compute, storage and networking into a compact, 10 RU (17.5 inches) form factor with 1.28 Tbps supercompute fabric. The technology enables users to install and configure thousands of computing cores more efficiently than any other server. Complex time-consuming tasks are completed within minutes due to the integration of compute, storage and networking. Operational fire drills, such as setting up servers on short notice, manually configuring hundreds of machines and re-provisioning the network to optimize traffic are all handled through a single, easy-to-use management interface.

      “AMD has shown leadership in providing a uniquely differentiated server for OpenStack deployments, and we are excited to have them as a seminal member of the Red Hat OpenStack Cloud Infrastructure Partner Network,” said Mike Werner, senior director, ISV and Developer Ecosystems at Red Hat. “The SeaMicro server is an example of incredible innovation, and I am pleased that our customers will have the SM15000 system as an option for energy-efficient, dense computing as part of the Red Hat Certified Solution Marketplace.”

      AMD’s SeaMicro SM15000 system is the highest-density, most energy-efficient server in the market. In 10 rack units, it links 512 compute cores, 160 gigabits of I/O networking and more than five petabytes of storage with a 1.28 Terabits-per-second high-performance supercompute fabric, called Freedom™ Fabric. The SM15000 server eliminates top-of-rack switches, terminal servers, hundreds of cables and thousands of unnecessary components for a more efficient and simple operational environment.

      “We are excited to be a part of the Red Hat OpenStack Cloud Infrastructure Partner Network because the company has a strong track record of bridging the communities that create open source software and the enterprises that use it,” said Dhiraj Mallick, corporate vice president and general manager, Data Center Server Solutions, AMD. “As cloud deployments accelerate, AMD’s certified SeaMicro solutions ensure enterprises are able realize the benefits of increased efficiency and simplified operations, providing them with a competitive edge and the lowest total cost of ownership.”

      AMD’s SeaMicro server product family currently supports the next-generation AMD Opteron™ (“Piledriver”) processor, Intel® Xeon® E3-1260L (“Sandy Bridge”) and E3-1265Lv2 (“Ivy Bridge”) and Intel® Atom™ N570 processors. The SeaMicro SM15000 server also supports the Freedom Fabric Storage products, enabling a single system to connect with more than five petabytes of storage capacity in two racks. This approach delivers the benefits of expensive and complex solutions such as network attached storage (NAS) and storage area networking (SAN) with the simplicity and low cost of direct attached storage.


      4. Ubuntu

      Ubuntu Server certified hardware SeaMicro [one of Ubuntu certification pages]

      Canonical works closely with SeaMicro to certify Ubuntu on a range of their hardware.

      The following are all Certified. More and more devices are being added with each release, so don’t forget to check this page regularly.

      Ubuntu on SeaMicro SM15000-OP | Ubuntu [Sept 1, 2013]

      Ubuntu on SeaMicro SM15000-XN | Ubuntu [Oct 1, 2013]

      Ubuntu on SeaMicro SM15000-XH | Ubuntu [Dec 18, 2013]

      Ubuntu OIL announced for broadest set of cloud infrastructure options [Ubuntu Insights, Nov 5, 2013]

      Today at the OpenStack Design Summit in Hong Kong, we announced the Ubuntu OpenStack Interoperability Lab (Ubuntu OIL). The programme will test and validate the interoperability of hardware and software in a purpose-built lab, giving Ubuntu OpenStack users the reassurance and flexibility of choice.
      We’re launching the programme with many significant partners onboard, such as; Dell, EMC, Emulex, Fusion-io, HP, IBM, Inktank/Ceph, Intel, LSi, Open Compute, SeaMicro, VMware.
      The OpenStack ecosystem has grown rapidly giving businesses access to a huge selection of components for their cloud environments. Most will expect that, whatever choices they make or however complex their requirements, the environment should ‘just work’, where any and all components are interoperable. That’s why we created the Ubuntu OpenStack Interoperability Lab.
      Ubuntu OIL is designed to offer integration and interoperability testing as well as validation to customers, ISVs and hardware manufacturers. Ecosystem partners can test their technologies’ interoperability with Ubuntu OpenStack and a range of software and hardware, ensuring they work together seamlessly as well as with existing processes and systems. It means that manufacturers can get to market faster and with less cost, while users can minimise integration efforts required to connect Ubuntu OpenStack with their infrastructure.
      Ubuntu is about giving customers choice. Over the last releases, we’ve introduced new hypervisors, and software-defined networking (SDN) stacks, and capabilities for workloads running on different types of public cloud options. Ubuntu OIL will test all of these options as well as other technologies to ensure Ubuntu OpenStack offers the broadest set of validated and supported technology options compatible with user deployments. Ubuntu OIL will test and validate for all supported and future releases of Ubuntu, Ubuntu LTS and OpenStack.
      Involvement in the lab is through our Canonical Partner Programme. New partners can sign up here.
      Learn more about Ubuntu OIL


      5. Big Data, Hadoop

      Storing Big Data – The Rise of the Storage Cloud [The Wave Newsletter from AMD, December 2012]

      Data is everywhere and growing at unprecedented rates. Each year, there are over one hundred million new Internet users generating thousands of terabytes of data every day. Where will all this data be stored? more >

      AMD’s SeaMicro SM15000 Achieves Certification for CDH4, Cloudera’s Distribution Including Apache Hadoop Version 4 [press release, March 20, 2013]

      Hadoop-in-a-Box” package accelerates deployments by providing 512 cores and over five petabytes in two racks

      The Hidden Truth: Hadoop is a Hardware Investment [The Wave Newsletter from AMD, September 2013]

      Apache Hadoop is a leading software application for analyzing big data, but its performance and reliability are tied to a company’s underlying server architecture. Learn how AMD’s SeaMicro SM15000™ server compares with other minimum scale deployments. more >

      The future is here: Yes, it is Microsoft Surface 2 with modern apps only! (And ARM, not x86/x64!)

      This video is speaking for itself (and for the title): Why I Love my Microsoft Surface 2 : Tips and Tricks [Sean Ong YouTube channel, Nov 3, 2013]

      In this video I show off my favorite features in the Microsoft Surface 2, with windows 8.1 RT. I show off voice control (windows speech recognition), multiple monitor support, and a variety of accessories via USB hub (including external hard drive, mouse, keyboard, and Xbox 360 controller integration). I show how I connect the Surface 2 to my HDTV as well as wireless casting of music and video! I also go through some other features, such as Spotify web player, and icloud web. Also kid friendly applications and multiple accounts. There’s so much stuff this thing can do, it will blow your mind away

      That is how Sean Ong, a senior consultant at Navigant (focussing there on “technical, economic, and policy analysis of energy efficiency and renewable energy systems”) and himself an energy analysis engineer, was able to present the above, truly incredible customer value from current and especially future point of view for Windows 8.1 in geneneral and Surface 2 (ARM based) in particular. It is even more remarkable as nobody, I REPEAT NOBODY, from Microsoft worldwide could do that. I know even a highly professional, true world class Windows 8/Windows 8.1 expert who was not only fascinated himself by the above video, but acknowledged honestly that he was unaware of the speech recognition progress in Windows 8.1. And we are talking about an internal expert who has already been involved in the internal expert network of similar, most devoted Microsoft specialists in Windows 8 and Windows 8.1 for years.

      For me this video is incredibly important because:

      NOT ONLY FOR THE FUTURE OF MICROSOFT BUT FOR THE WHOLE STATE OF COMPUTING
      AS THE MISSING COMMUNICATIONS FROM MICROSOFT, EVEN THE TOTAL INABILITY OF MICROSOFT TO COMMUNICATE THE INHERENT WINDOWS 8.1/SURFACE 2 VALUES, WERE CLEARLY POINTING TO TOTAL LACK OF MARKETING COMPETENCY FOR ITS GAME-CHANGING, MICROSOFT-ONLY, POST PC AREA INNOVATIONS INHERENT IN WINDOWS 8.1/SURFACE 2

      Although these signs (both the positive and negative ones) were coupled with a number of competitive positive changes for Microsoft, such as:

      But a number of competitive negative changes for Microsoft became even more worrisome (than any time before) lately, such as:

      Fortunately we already know:

      Board of directors initiates succession process; Ballmer remains CEO until successor is named.
      Microsoft Corp. today announced that Chief Executive Officer Steve Ballmer has decided to retire as CEO within the next 12 months, upon the completion of a process to choose his successor. In the meantime, Ballmer will continue as CEO and will lead Microsoft through the next steps of its transformation to a devices and services company that empowers people for the activities they value most.
      “There is never a perfect time for this type of transition, but now is the right time,” Ballmer said. “We have embarked on a new strategy with a new organization and we have an amazing Senior Leadership Team. My original thoughts on timing would have had my retirement happen in the middle of our company’s transformation to a devices and services company. We need a CEO who will be here longer term for this new direction.”
      The Board of Directors has appointed a special committee to direct the process. This committee is chaired by John Thompson, the board’s lead independent director, and includes Chairman of the Board Bill Gates, Chairman of the Audit Committee Chuck Noski and Chairman of the Compensation Committee Steve Luczo. The special committee is working with Heidrick & Struggles International Inc., a leading executive recruiting firm, and will consider both external and internal candidates.
      The board is committed to the effective transformation of Microsoft to a successful devices and services company,” Thompson said. “As this work continues, we are focused on selecting a new CEO to work with the company’s senior leadership team to chart the company’s course and execute on it in a highly competitive industry.”
      “As a member of the succession planning committee, I’ll work closely with the other members of the board to identify a great new CEO,” said Gates. “We’re fortunate to have Steve in his role until the new CEO assumes these duties.”
      Founded in 1975, Microsoft (Nasdaq “MSFT”) is the worldwide leader in software, services and solutions that help people and businesses realize their full potential.
      Outgoing Microsoft CEO Steve Ballmer has always been a speaker and performer like no other — his absolute enthusiasm for his company is electric in person, turning ordinary corporate events into raw displays of emotion that are often criticized but never forgotten. Read more at The Verge: http://www.theverge.com/2013/9/27/4779036/exclusive-video-steve-ballmers-intense-tearful-goodbye-to-microsoft
      Steve Ballmer paced his corner office on a foggy January morning here, listening through loudspeakers to his directors’ voices on a call that would set in motion the end of his 13-year reign as Microsoft Corp.’s MSFT -0.47% chief executive.
      Microsoft lagged behind Apple Inc. AAPL -0.60% and Google Inc. GOOG -0.16% in important consumer markets, despite its formidable software revenue. Mr. Ballmer tried to spell out his plan to remake Microsoft, but a director cut him off, telling him he was moving too slowly.
      “Hey, dude, let’s get on with it,” lead director John Thompson says he told him. “We’re in suspended animation.” Mr. Ballmer says he replied that he could move faster.
      But the contentious call put him on a difficult journey toward his August decision to retire, sending Microsoft into further tumult as it began seeking a successor to a man who has been at its heart for 33 years.
      “Maybe I’m an emblem of an old era, and I have to move on,” the 57-year-old Mr. Ballmer says, pausing as his eyes well up. “As much as I love everything about what I’m doing,” he says, “the best way for Microsoft to enter a new era is a new leader who will accelerate change.”
      Mr. Ballmer, in a series of exclusive interviews tinged with his characteristic bluster and wistfulness, tells of how he came to believe that he couldn’t lead Microsoft forward—that, in fact, Microsoft would not be led by him because of the very corporate culture he had helped instill.
      Mr. Ballmer and his board have been in agreement: Microsoft, while maintaining its strong software business, must shake up its management structure and refocus on mobile devices and online services if it is to find future profit growth and reduce its dependence on the fading PC market.
      The board’s beef was speed. The directors “didn’t push Steve to step down,” says Mr. Thompson, a longtime technology executive who heads the board’s CEO-search committee, “but we were pushing him damn hard to go faster.”
      Investors, too, were pushing for transformation. “At this critical juncture, Wall Street wants new blood to bring fundamental change,” says Brent Thill, a longtime Microsoft analyst at UBS AG. “Steve was a phenomenal leader who racked up profits and market share in the commercial business, but the new CEO must innovate in areas Steve missed—phone, tablet, Internet services, even wearables.”
      The Microsoft board’s list of possible successors includes, among others, former Nokia Corp. NOK1V.HE +0.25% CEO Stephen Elop, Microsoft enterprise-software chief Satya Nadella and Ford Motor Co. F -0.12% CEO Alan Mulally, say people familiar with the search. In conjunction with Microsoft’s annual shareholder meeting Nov. 19, the board plans to meet and will discuss succession, says a person familiar with the schedule.
      Representatives for Mr. Elop and Mr. Nadella say the men have no comment on the search. A Ford spokesman says “nothing has changed” since November 2012, when Ford said Mr. Mulally would remain CEO through at least 2014, adding: “Alan remains absolutely focused on continuing to make progress on our One Ford plan. We do not engage in speculation.”
      Microsoft’s next chief will be only the third in its history. Mr. Ballmer joined in 1980 at the suggestion of his Harvard University pal, co-founder Bill Gates, and is its second-largest individual shareholder and a billionaire.
      After growing up in Detroit, where his father was a Ford manager, Mr. Ballmer roomed down the hall from Mr. Gates at Harvard. He dropped his Stanford M.B.A. studies to become Microsoft’s first business manager.
      He was Mr. Gates’s right-hand man, helping turn Microsoft into a force that redefined how the world used computers. He took the reins in 2000, further solidifying Microsoft’s position in software markets and keeping the profit engine humming. Revenue tripled during his tenure to almost $78 billion in the year ended this June, and profit grew 132% to nearly $22 billion.
      But while profit rolled in from Microsoft’s traditional markets, it missed epic changes, including Web-search advertising and the consumer shift to mobile devices and social media.
      Last year, Mr. Ballmer sought to reboot. In an October shareholder letter, he declared Microsoft would become a provider of “devices and services” for businesses and individuals.
      He told the board he wanted to lead the charge and remain until his youngest son graduated from high school in four years. He began his own succession planning by meeting potential candidates in what he calls “cloak-and-dagger” meetings.
      Mr. Ballmer’s reboot plan required a corporate overhaul. For guidance, he called his longtime friend, Ford’s Mr. Mulally, once a top Boeing Co. BA +0.73% executive. They met Christmas Eve at a Starbucks on Mercer Island near Seattle.
      Mr. Ballmer brought a messenger bag, pulling out onto a table an array of phones and tablets from Microsoft and competitors. He asked Mr. Mulally how he turned around Ford. For four hours, he says, Mr. Mulally detailed how teamwork and simplifying the Ford brand helped him reposition it.
      The Ford spokesman says: “Ford and Microsoft have a long-standing business partnership, and many of our leaders discuss business together frequently.”
      It was a wake-up call for Mr. Ballmer, who had run the software giant with bravado and concedes that “I’m big, I’m bald and I’m loud.”
      Microsoft’s culture included corporate silos where colleagues were often pitted against one another—a competitive milieu that spurred innovation during Microsoft’s heyday but now sometimes leaves groups focused on their own legacies and bottom lines rather than on the big technology picture and Microsoft as a whole.
      He recalls thinking: “I’ll remake my whole playbook. I’ll remake my whole brand.”
      The board liked his new plan. But as Mr. Ballmer prepared to implement it, his directors on the January conference call demanded he expedite it.
      Pushing hardest, say participants, were Mr. Thompson, who had held top jobs at International Business Machines Corp. IBM +0.54% and Symantec Corp. SYMC +0.38%, and Stephen Luczo, CEO of Seagate Technology STX -2.33% PLC. Mr. Luczo declines to comment.
      “But, I didn’t want to shift gears until I shipped Windows,” Mr. Ballmer says he told the directors on the call, explaining that he hadn’t moved faster in late 2012 because he was focused on releasing in October the next generation of Windows, Microsoft’s longtime cash cow.
      Mr. Ballmer swung into gear, drafting a management-reorganization plan to discuss during a March retreat at a Washington mountain resort. He invited Mr. Thompson and another director, to get board perspective on his plan.
      Instead, he got more pressure. Mr. Thompson says he told Mr. Ballmer and his executives: “Either get on the bus or get off.”
      Mr. Ballmer says he took that as an endorsement of his plan. That evening, some of them played poker, drank Scotch and gathered around the lodge’s fireplace.
      The next month, hedge fund ValueAct Capital disclosed a $2 billion Microsoft stake. ValueAct’s CEO Jeffrey Ubben at a conference said Microsoft’s stock was undervalued. Other shareholders were urging it to increase its dividend and shed noncore businesses. A ValueAct spokesman declines further comment. In September, Microsoft increased its dividend but hasn’t sold off businesses investors have urged it to, such as the Bing search engine.
      Mr. Ballmer hewed to Mr. Mulally’s recommendations. For years, he had consulted with Microsoft’s unit chiefs individually, often dispensing marching orders. Now, he began inviting them to sit together in a circle in his office to foster camaraderie.
      It was a lurching corporate-culture change. “It’s not the way we operated at all in Steve’s 30-plus years of leadership of the company,” says Mr. Nadella, an executive vice president.
      Mr. Ballmer says his senior team struggled with the New Steve. Some resisted on matters large—combining engineering teams—and small, such as weekly status reports.
      Qi Lu, an executive vice president, submitted a 56-page report on applications and services. Mr. Ballmer sent it back, insisting on just three pages—part of a new mandate to encourage the simplicity needed for collaboration. Mr. Lu says he retorted: “But you always want the data and detail!”
      Mr. Ballmer says he started to realize he had trained managers to see the trees, not the forest, and that many weren’t going to take his new mandates to heart.
      In May, he began wondering whether he could meet the pace the board demanded. “No matter how fast I want to change, there will be some hesitation from all constituents—employees, directors, investors, partners, vendors, customers, you name it—to believe I’m serious about it, maybe even myself,” he says.
      His personal turning point came on a London street. Winding down from a run one morning during a May trip, he had a few minutes to stroll, some rare spare time for recent months. For the first time, he began thinking Microsoft might change faster without him.
      “At the end of the day, we need to break a pattern,” he says. “Face it: I’m a pattern.”
      Mr. Ballmer says he secretly began drafting retirement letters—ultimately some 40 of them, ranging from maudlin to radical.
      On a plane from Europe in late May, he told Microsoft General Counsel Brad Smith that itmight be the time for me to go.” The next day, Mr. Ballmer called Mr. Thompson, with the same message.
      Mr. Thompson called two other directors, Mr. Luczo and Charles Noski, former Bank of America Corp. BAC +0.84% vice chairman, and says he told them: “If Steve’s ready to go, let’s see if we can get on with this.”
      At the board’s June meeting in Bellevue, Wash., Mr. Ballmer says he told the directors: “While I would like to stay here a few more years, it doesn’t make sense for me to start the transformation and for someone else to come in during the middle.”
      The board wasn’t “surprised or shocked,” says Mr. Noski, given directors’ conversations with Mr. Ballmer. Mr. Thompson says he and others indicated that “fresh eyes and ears might accelerate what we’re trying to do here.”
      Mr. Gates, Microsoft’s chairman, told Mr. Ballmer that he understood from experience how hard it was to leave when Microsoft was your “life,” says someone familiar with Mr. Gates’s thinking. Mr. Gates told the board he supported Mr. Ballmer’s departure if it ensured Microsoft “remains successful,” this person says.
      That night, after Mr. Ballmer watched his son sing at his high-school baccalaureate ceremony—a Coldplay song with the lyrics: “It’s such a shame for us to part; nobody said it was easy; no one ever said it would be this hard”—he says he told his wife and three sons he was probably leaving Microsoft. They all cried.
      On Aug. 21, the board held a conference call to accept Mr. Ballmer’s retirement. Mr. Gates and Mr. Thompson sat with Mr. Ballmer in his office. It was over in less than an hour.
      Mr. Ballmer vows not to be a lame duck.
      “Charge! Charge! Charge!” he bellows, jumping up from an interview and lunging forward while pumping his fist forward like a battering ram. “I’m not going to wimp away from anything!”
      He has remained active, shepherding a $7.5 billion deal to buy Nokia’s mobile businesses and fine-tuning holiday-marketing strategies for Microsoft’s Surface tablets and new Xbox game console. In October, Microsoft reported better-than-expected quarterly earnings.
      At his final annual employee meeting this September, Mr. Ballmer gave high-fives and ran off the stage to the song: “(I’ve Had) The Time of My Life” from the movie “Dirty Dancing.”
      Last month, walking along Lake Washington, Mr. Ballmer bumped into Seattle Seahawks coach Pete Carroll, who was fired from earlier jobs and now is thriving. Mr. Carroll says he told his neighbor he went through “something like this” and predicted it is “going to be great.”
      Mr. Ballmer says he is weighing casual offers as varied as university teaching and coaching his youngest son’s high-school basketball team. He plans no big decisions for at least six months—except that he won’t run another big company. He says he’s open to remaining a Microsoft director.
      At a recent executive meeting, he perched on a stool to review developments. His third slide was labeled “New CEO.”
      “Not a soul in this room doesn’t think we need to go through this transition,” he said. As he stood up, his voice started to crack: “As much as I wish I could stay your CEO, I still own a big chunk of Microsoft, and I’m going to keep it.”
      He walked back toward the stool, then turned around and said in a near-whisper: “Please take good care of Microsoft.”

      You could read also Reporter’s Notebook: Two Days With Steve Ballmer [The Wall Street Journal, Nov 15, 2013] ending this way: 

      … This summer when he was deciding whether to step down, Mr. Ballmer quietly met with big institutional investors in Boston and San Francisco. The head of one big institution told him, “Microsoft would be better served with you gone.” Mr. Ballmer, who’s the second largest individual shareholder, knew the investor might get his wish. Yet, he argued, “Who cares more about Microsoft than I do? I own a lot. It’s my life.”

      And that showed how his emotions alternate between bluster and wistfulness. The deed is done, the decision has been made, a new CEO is imminent. But Mr. Ballmer is struggling because Microsoft has been so much more than a job … as he said, “my life.”

      My closing remarks:

      1. The next CEO problem to be solved is definitely the #1 issue for the future of the Microsoft
      2. The #2 issue is how successfully the Unique Nokia assets (from factories to global device distribution & sales, and the Asha sub $100 smartphone platform etc.) will now empower the One Microsoft devices and services strategy [‘Experiencing the Cloud’, Sept 3, 2013] for which the Microsoft answers to the questions about Nokia devices and services acquisition: tablets, Windows downscaling, reorg effects, Windows Phone OEMs, cost rationalization, ‘One Microsoft’ empowerment, and supporting developers for an aggressive growth in market share [‘Experiencing the Cloud’, Sept 4, 2013] is providing an interim answer, i.e. till the arrival of the new CEO
      3. The #3 isssue is How the device play will unfold in the new Microsoft organization? [‘Experiencing the Cloud’, July 14, 2013]. If Stephen Elop, former CEO of Nokia, and a previous senior executive of Microsoft, will become the next CEO then Minutes of a high-octane but also expert evangelist CEO: Stephen Elop, Nokia [‘Experiencing the Cloud’, July 13, 2013] could provide some clue for changes to be expected as a strategic evolution of the current one described in the already mentioned [‘Experiencing the Cloud’, July 14, 2013]. Even in case when he will not be selected by the Microsoft board as the next CEO he will have very strong influence on the device play for the initial first year integration of the acquired Nokia businesses into Microsoft, for very simple reason, that nobody could do this, and a successfull integration is a higher priority, #2 issue.
      4. Strategically, however, the most important issue is the
      5. Microsoft reorg for delivering/supporting high-value experiences/activities [‘Experiencing the Cloud’, July 11, 2013]

      6. Everything else which might be a crucial issue during this process is highly controversial, without any official clues from Microsoft or any other stakeholder sources. The most controversial among all of them is the issue of non-profitable and/or not necessarily integral to Microsoft businesses. These are the Bing and the Xbox businesses. The range of external opinions is extremely large with investment circles firmly believing that neither Bing nor Xbox are inherently integral to Microsoft, and most of the external development community with an exacly opposite belief of those businesses being inherently internal.

      7. My personal opinion is that with spin-off both extremes could be served sufficiently well, and even open completely new business development opportunities for both Bing and Xbox to grow substantially faster and bigger than otherwise. I would be especially enthusiastic for an Xbox spin-off as that business is already (with upcoming Oct 22 introduction of Xbox One) not a gaming console, but an entertainment ecosystem type of business. As such it would get enormous growth opportunities with its spin-off from the tightly integrated Microsoft mother ship.

      8. The ultimate issue for me, however, is how the currently quite crippled and/or bureaucratic marketing machinery of Microsoft could be completely overhauled as part of Nokia integration, and how fast that could be achieved, if any? I mean a new marketing machinery which is thriving on the huge number of opportunities provided by already delivered game-changing products and technologies, instead of not understanding them at all. I mean not simply an ability to produce videos like the one in the beginning of this post, but a competency to produce whole storyboards for production of such videos and other communication materials. One might call it “high-octane marketing” for simplicity. Even more I envisage such integration of the marketing activities into the whole supply chain management (SCM) as is done in Samsung. See my Samsung has unbeatable supply chain management, it is incredibly good in everything which is consumer hardware, but vulnerability remains in software and M&A [‘Experiencing the Cloud’, Nov 11, 2013] post for that, from which I will copy the following illustration here as well:

      Eight-core MT6592 for superphones and big.LITTLE MT8135 for tablets implemented in 28nm HKMG are coming from MediaTek to further disrupt the operations of Qualcomm and Samsung

      Updates

      Update: The Power of 8: MediaTek True Octa-Core [mediateklab YouTube channel, July 29, 2013]

      MediaTek is the first in the world to optimize and adopt True Octa-Core technology for the perfect balance of power and performance. Unlike existing octa-core solutions in the market, which can only activate half of their CPU cores at once, MediaTek True Octa-Core allows for all eight of its cores to run simultaneously, offering the ultimate combination of performance and power-efficiency. *Learn about MediaTek True Octa-Core Solution: http://www.mediatek.com/_en/Event/201307_TrueOctaCore/tureOcta.php

      Update:  MT6592—The world’s first true octa-core SOC with scalable eight-core processing [product page, March 13, 2014]

      Overview

      MediaTek MT6592 is the world’s first heterogeneous computing SOC with scalable eight-core processing for superior multi-tasking, industry-leading multimedia features and excellent performance-per-watt. Based on 28nm HPM (High-Performance Mobile) process technology, MT6592 has eight CPU cores, each capable of clock speeds up to 2GHz.

      Features

      • ARM® Cortex®-A7 processor (1.7GHz or 2GHz)
      • 28nm HPM process technology
      • MAGE 3D graphics engine
      • UMTS / HSPA+ R8 / TD-SCDMA / EDGE / LTE
      • 801.11a/b/g/n, Bluetooth, GPS, FM tuner
      • 16MP camera image signal processor
      • Full HD H.265 / VP9 and Ultra HD H.264 video playback
      • ARM Mali™ GPU (700MHz)
      • MediaTek ClearMotion™ video enhancement

      Update: [€147.18] Cubot X6 OctaCore MT6592 Phone Ultimate Slim Design 5″ OGS HD Retina [arif rachman YouTube channel, March 1, 2014]

      Cubot X6 OctaCore MT6592 Ultimate Slim Design
      Please follow the link below to see the full specification
      http://bit.ly/CubotX6
      This is the latest phone from Cubot. Well.. the phone has the latest MT6592 1.7GHz processor. 28nm process, with quad core mali 450 GPU. Frequency is up to 700MHz. It supports full HD video with wide screen decoding format.
      The true eight core processor can run simultaneously through advanced scheduling algorithm, dynamic temperature control and power management technology to optimize workload distribution to each core. When handling multiple tasks and heavy duty needs, achieve the peak performance of full eight core. At light load, you can turn off the core, the ultimate energy saving idle. It means substantial increase in cell phone battery life.
      The Mali 450 graphics processor, overall performance is up to twice of the previous Mali 400. It supports full-HD 60fps. The triangles per second and render is 152M 2.8G pixels. Should be easy to run 3D games, smooth playback of 1080 HD videos. It also has a built in powerful MAGE 3D engine.
      The front camera is 5 mega pixels while the back camera is 8 mega pixels. The camera is equipped with five pieces of high precision glass structure, which can effectively filter infrared blue glass. This is to achieve the level of professional SLR camera. Far better than ordinary lenses. The phone uses Sony sensor with latest 13Mega-Pixel CMOS Image Sensor.
      In a week, the phone will be available at banggood for only $184.99 with free shipping worldwide! That’s an octa core phone below 200$ price tag! Not cheap enough?
      Leave your email to get referred and get 10$ discount! Cheapest price out there!
      Please follow the link below to see the full specification
      http://bit.ly/CubotX6

      IllusionMage [Wikipedia, excerpted on March 15, 2014]

      IllusionMage is a paid for 3D modeling, animation, and rendering software packages comprising the core engine of Blender, an open-source, 3D software suite, and bundled with materials related to Blender.

      Other names this bundle has gone under are IllusionMage3D, 3DMagix, and 3DMagixPro.[1]

      All materials and software included are freely available from other sources. The marketing of this program includes images that were stolen from other sources, often created with competing 3D applications. The image of the alleged creator of the software, Seth Avery, is a random stock photo.[2]

      Criticism

      IllusionMage has come under fire by many prominent Blender news sites and figures, including Ton Roosendaal, the founder of the Blender Foundation[3][4]

      Related

      References

      1. “Illusion Mage & 3D Magix Pro (affiliate) domain names” Topic: Illusion Mage & 3D Magix Pro *is* a scam. KatsBits Forum. Retrieved 2 October 2011.
      2. “Handsome young man isolated over white”. Laflor Photography via iStockPhoto. Retrieved 8 May 2012.
      3. January 2011 Blender Foundation Press Release
      4. “3DMagix and IllusionMage, scam or open source leeches?”. BlenderNation. Retrieved 30 September 2011.

      Update: 联发科平板四核心MT8135 官方成绩曝光 (MediaTek MT8135 quad-core tablet exposure Official Results) [ 驱动之家 (MyDrivers.com), July 29, 2013]

      image

      Update: MediaTek’s Quad-core Tablet SoC MT8135 : Performance Benchmark [mediateklab YouTube channel, July 19, 2013]

      MediaTek introduces industry leading tablet SoC -MT8135, which integrates ARM’s big.LITTLE™ processing subsystem and a PowerVR™ Series6 GPU from Imagination Technologies. MediaTek MT8135 fulfills the most demanding CPU and GPU usage scenarios, whether it is heavy web downloading, hardcore gaming, high-quality premium video viewing or rigorous multitasking, while maintaining the utmost power efficiency. In this video, you’ll see how MediaTek MT8135 outperforms today’s tablet solutions.
      Update: MediaTek Introduces Industry Leading Tablet SoC, MT8135 [press release, July 29, 2013]
      TAIWAN, Hsinchu – July 29, 2013 – MediaTek Inc., (2454: TT), a leading fabless semiconductor company for wireless communications and digital multimedia solutions, today announced its breakthrough MT8135 system-on-chip (SoC) for high-end tablets. The quad-core solution incorporates two high-performance ARM Cortex™-A15 and two ultra-efficient ARM Cortex™-A7 processors, and the latest GPU from Imagination Technologies, the PowerVR™ Series6. Complemented by a highly optimized ARM® big.LITTLE™ processing subsystem that allows for heterogeneous multi-processing, the resulting solution is primed to deliver premium user experiences. This includes the ability to seamlessly engage in a range of processor-intensive applications, including heavy web-downloading, hardcore gaming, high-quality video viewing and rigorous multitasking – all while maintaining the utmost power efficiency.
      In line with its reputation for creating innovative, market-leading platform solutions, MediaTek has deployed an advanced scheduler algorithm, combined with adaptive thermal and interactive power management to maximize the performance and energy efficiency benefits of the ARM big.LITTLE™ architecture. This technology enables application software to access all of the processors in the big.LITTLE cluster simultaneously for a true heterogeneous experience. As the first company to enable heterogeneous multi-processing on a mobile SoC, MediaTek has uniquely positioned the MT8135 to support the next generation of tablet and mobile device designs.
      “ARM big.LITTLE™ technology reduces processor energy consumption by up to 70 percent on common workloads, which is critical in the drive towards all-day battery life for mobile platforms,” said Noel Hurley, vice president, Strategy and Marketing, Processor Division, ARM. “We are pleased to see MediaTek’s MT8135 seizing on the opportunity offered by the big.LITTLE architecture to enable new services on a heterogeneous processing platform.”
      “The move towards multi-tasking devices requires increased performance while creating greater power efficiency that can only be achieved through an optimized multi-core system approach. This means that multi-core processing capability is fast becoming a vital feature of mobile SoC solutions. The MT8135 is the first implementation of ARM’s big.LITTLE architecture to offer simultaneous heterogeneous multi-processing.  As such, MediaTek is taking the lead to improve battery life in next-generation tablet and mobile device designs by providing more flexibility to match tasks with the right-size core for better computational, graphical and multimedia performance,” said Mike Demler, Senior Analyst with The Linley Group.
      The MT8135 features a MediaTek-developed four-in-one connectivity combination that includes Wi-Fi, Bluetooth 4.0, GPS and FM, designed to bring highly integrated wireless technologies and expanded functionality to market-leading multimedia tablets. The MT8135 also supports Wi-Fi certified Miracast™ which makes multimedia content sharing between devices remarkably easier.
      In addition, the tablet SoC boasts unprecedented graphics performance enabled by its PowerVR™ Series6 GPU from Imagination Technologies. “We are proud to have partnered with MediaTek on their latest generation of tablet SoCs” says Tony King-Smith, EVP of marketing, Imagination. “PowerVR™ Series6 GPUs build on Imagination’s success in mobile and embedded markets to deliver the industry’s highest performance and efficient solutions for graphics-and-compute GPUs. MediaTek is a key lead partner for Imagination and its PowerVR™ Series6 GPU cores, so we expect the MT8135 to set an important benchmark for high-end gaming, smooth UIs and advanced browser-based graphics-rich applications in smartphones, tablets and other mobile devices. Thanks to our PowerVR™ Series6 GPU, we believe the MT8135 will deliver five-times or more the GPU-compute-performance of the previous generation of tablet processors.”
      “At MediaTek, our goal is to enable each user to take maximum advantage of his or her mobile device.  The implementation and availability of the MT8135 brings an enjoyable multitasking experience to life without requiring users to sacrifice on quality or energy. As the leader in multi-core processing solutions, we are constantly optimizing these capabilities to bring them into the mainstream, so as to make them accessible to every user around the world,” said Joe Chen, GM of the Home Entertainment Business Unit at MediaTek.
      The MT8135 is the latest SoC in MediaTek’s highly successful line of quad-core processors, which since its launch last December has given rise to more than 350 projects and over 150 mobile device models across the world. This latest solution, along with its comprehensive accompanying Reference Design, will like their predecessors fast become industry standards, particularly in the high-end tablet space.
      Update: Optimized big. LITTLE – MediaTek [MediaTek, July 29, 2013]
      Multi-core system-on-chip (SoC) design has brought tremendous benefits to mobile device users by offering seamless engagement in rigorous multitasking. To overcome the issue with high energy consumption and thermal readings, MediaTek is deploying an advanced scheduler algorithm, combined with adaptive thermal and interactive power management to maximize the performance and energy efficiency benefits of the ARM big.LITTLE™ architecture. The technology will allow applications software to simultaneously access all the processors in the big.LITTLE™ cluster for a true heterogeneous experience, activating both of its CPU clusters concurrently for extreme performance.
      Optimized big. LITTLE™
      ARM big.LITTLE™ processing is designed to address the energy and thermal issues associated with multi-core system-on-chip (SoC) solutions. It allows for the creation of dual-cluster SoCs, with one more powerful (big) cluster for processing intensive tasks and a less powerful (LITTLE) cluster for executing routine functions. MediaTek is among the first SoC designers to have adopted this ground-breaking technology. Unlike its counterparts, however, the company has done so in a manner that affords device users the utmost energy and thermal efficiency rates.
      Enabling Heterogeneous Multi-Processing
      imageOf the three big.LITTLE™ software models that can be integrated, for example, MediaTek chose the Heterogeneous Multi-Processing [developed and named by ARM as Global Task Scheduling (GTS), also known earlier as big.LITTLE MP, see in the last section of this post in detail] approach, which unlike the other two methods – Cluster- [as was implemented in Galaxy S4 by Samsung with Exynos 5 SoC having 4xA7+4xA15 configuration] and CPU-Migration [IKS (In Kernel Switcher) developed by Linaro, see in the last section of this post in detail] – allows for individual cores to be activated as and when needed for maximum efficiency.
      However, use of the most versatile model isn’t MediaTek’s only advantage. In line with its reputation for creating innovative, market-leading platform solutions, MediaTek has deployed an advanced scheduler algorithm, combined with adaptive thermal and interactive power management to maximize the performance and energy efficiency benefits of the ARM big.LITTLE™ architecture.
      The technology will allow applications software to simultaneously access all the processors in the big.LITTLE™ cluster for a true heterogeneous experience, activating both of its CPU clusters concurrently for extreme performance.
      imageIn comparison, the current octa-core SoC solution, utilizes one of the more inferior big.LITTLE™ software models. As a result, the processor is not as efficient as it otherwise might be.
      As the first company to enable Heterogeneous Multi-Processing on a mobile SoC in the form of its MT8135 Reference Design, MediaTek is uniquely positioned to support the next wave of tablet and mobile devices.
      Update: Optimized ARM big.LITTLETM – MediaTek Enables ARM big.LITTLETM Heterogeneous Multi-Processing Technology in Mobile SoCs [MediaTek Position Paper in PDF, July 29, 2013]

      MediaTek MT8135 brings PowerVR Series6 GPUs to a mobile device near you [With Imagination Blog, July 29, 2013]

      Over the years, our close partnership with MediaTek has resulted in the release of some very innovative platforms that have set important benchmarks for high-end gaming, smooth UIs and advanced browser-based graphics-rich applications in smartphones, tablets and other mobile devices. Two recent examples include:

      MediaTek has been steadily establishing itself as an important global player for consumer products like smartphones, tablets and smart TVs, with a strong foothold in Latin America and Asia, and a rapidly growing presence in Europe and North America. Earlier this year, MediaTek introduced MT8125, one of their most successful tablet chipsets for high-end multimedia capabilities.

      image

      While MT8125 has been extremely popular with OEMs including Asus, Acer or Lenovo, MT8135 has the potential to consolidate Mediatek’s existing customer base and open up exciting new opportunities thanks to the advanced feature set provided by Imagination’s PowerVR ‘Rogue’ architecture.

      MT8135 is a quad-core SoC that aims for the middle- to high-end tier of the tablet OEM market. It supports a 4-in-1 connectivity package that includes Wi-Fi, Bluetooth 4.0, GPS and FM radio, all developed in-house by MediaTek. Miracast is another important addition to the multimedia package, enabling devices using MT8135 to stream high-resolution content more easily to compatible displays, over wireless networks.

      image

      MT8135 incorporates a PowerVR G6200 GPU [from the block diagram corresponds to the PowerVR G6230] from Imagination that enables advanced mobile graphics and compute applications for the mainstream consumer market, including fast gaming, 3D navigation and location-based services, camera vision, image processing, augmented reality applications, and smooth, high-resolution user interfaces.

      image

      As MT8135-powered mobile devices start appearing in the market, developers will have access to new technologies and features introduced by our PowerVR Series6 family such as:

      • our latest-generation tile based deferred rendering (TBDR) architecture implemented on universal scalable clusters (USC)
      • high-efficiency compression technologies that reduce memory bandwidth requirements, including lossless geometry compression and PVRTC/PVRTC2 texture compression
      • scalar processing to guarantee highest ALU utilization and easy programming

      Thanks to the PowerVR G6200 GPU inside the MT8135 application processor, MediaTek brings high-quality, low-power graphics to unprecedented levels by delivering up to four times more ALU horsepower compared to MT8125, its PowerVR Series5XT-based predecessor. PowerVR G6200 fully supports a wide range of graphics APIs including OpenGL ES 1.1, 2.0 and 3.0, OpenGL 3.x, 4.x and DirectX 10_1, along with compute programming interfaces such as OpenCL 1.x, Renderscript and Filterscript.

      image

      By partnering up with Imagination, MediaTek has access to our industry-leading PowerVR graphics, worldwide technical support, and a strong ecosystem of Android developers capable of making the most of our technology. We look forward to shortly seeing our brand-new PowerVR Series6 GPUs in the hands of millions of consumers, and see MediaTek as one of our strategic partners for our latest generation PowerVR GPUs moving forward.

      End of Updates

      This report consists of the following parts:

      • The latest MediaTek roadmap, high-end and OS strategy
      • News reports about MT6592 and its first application

      • Update: MediaTek True Octa [MediaTek, July 23, 2013] imageEfficient video playback:
        When on decoding mode, the battery used for decoding HEVC (H.265) FHD video
        can be reduced by up to 18 percent compared to current quad-core solutions
        (from MediaTek True Octa-Core Position Paper [MediaTek, July 23, 2013])
      • What is new vs. my earlier The state of big.LITTLE processing [‘Experiencing the Cloud’, April 7, 2013] report
      For the preceding smartphone SoC in the current roadmap see MediaTek MT6589 quad-core Cortex-A7 SoC with HSPA+ and TD-SCDMA is available for Android smartphones and tablets of Q1 delivery [‘Experiencing the cloud’, Dec 12, 2012]. For smartphone SoCs before that  see Boosting the MediaTek MT6575 success story with the MT6577 announcement  – UPDATED with MT6588/83 coming early 2013 in Q42012 and 8-core MT6599 in 2013 [‘Experiencing the cloud’, June 27, July 27, Sept 11-13, Sept 26, Oct 2, 2012]. Note that MT6588 was renamed MT6589 when was launched, as MT6599 would be renamed MT6592 now.


      The latest MediaTek roadmap, high-end and OS strategy

      Maybank Kim Eng just published in its MediaTek Closing In Fast [July 17, 2013] report the following two SoC roadmaps:

      image

      GPU for MT6592 smartphone SoCs (and presumably for MT6588 as well) will be Mali according to Zhu Shangzu (朱尚祖), MediaTek Global Smartphone General Manager in the [Part 2] MediaTek to push 8 small cores, the mystery [ESM 国际电子商情 (International Electronic Business), July 18, 2013] exclusive interview.
      According to 28nm Technology [TSMC, June 21, 2011] description: The 28nm technology node of the TSMC foundry (which is used for manufacturing by MediaTek) has a high performance (HP) process as the first option to use high-k metal gate (HKMG) process technology. The 28nm low power with high-k metal gates (HPL) technology, as the second option, adopts the same gate stack as HP technology while meeting more stringent low leakage requirements with a trade of performance speed. Explanation: From about 10 µm (1971) to below 0.1 µm (100 nm) conventional silicon oxynitride as the gate insulator with polysilicon gate, so called poly/SiON gate stack, was used for CMOS technology. It was typically possible to scale down to 45 nm (2008), only TSMC was able to scale it down further to 28 nm in which most of the current 28nm SoCs from TSMC are produced. imageWhile Intel (and IBM) had to introduce high-K dielectric as the gate insulator with metal gate, so called High-k / Metal Gate stack,  for the performance of their 45 nm products in 2008 (in order to continue with the Moore’s law in their realm) as you could see on the right (taken from Life With “Penryn” [DailyTech, Jan 27, 2007] interview with Mark Bohr, Intel Senior Fellow, and Steve Smith, Intel Vice President DEG Group Operations), TMSC could introduce that only on the 28nm node as described above. The HKMG based 28nm SoCs are much higher performance (or higher performance still with low power by HPL) as you could see from the 2GHz clockrate of the MT6592 (above) or MT8315 (below) vs. that of the convential poly/SiON counterparts, MT6589 and MT8389 with 1.2GHz.

      image

      Complementary post reminder: H2CY13: Upcoming next-gen Nexus 7, the ASUS MeMO Pad HD 7 “re-incarnation” at reduced by $50 price, dual/quad-core mid-range tablets from white-box vendors starting from $65 [‘Experiencing the Cloud’, July 5, 2013] in which there is plenty of information regarding the non high-end tablet SoCs, from MediaTek (MediaTek MT8125, MediaTek MT8377 and MediaTek MT8389) as well as competition from Allwinner and Rockchip. The pre-eminent ASUS MeMO Pad™ HD 7 described in detail there is using the MT8125 SoC, while the new Nexus 7 (to be announced before the ending of July) the  Qualcomm Snapdragon 600 Quad Core SoC. In that sense we got with that post not only a complete H2 competitive tablet market picture for mid-range but some information regarding the new Qualcomm high-end as well.
      For the upcoming MT8135 tablet SoC it is known from the part 3 of the Zhu Shangzu interview that the quad-core configuration will be 2xA15+2xA7, which means a big.LITTLE architecture and quite probably the already mature ‘In Kernel Switcher’ (IKS) scheduler initially GTS with MediaTek’s “advanced scheduler algorithm, combined with adaptive thermal and interactive power management” and called Heterogeneous Multi-Processing (HMP) by MediaTek (see in the updates in front of the original post). But as As ARM already decided on the architecture of the other, more general ‘Global Task Scheduling’ (GTS) solution (see much below) I would assume that the proper hardware underpinnings for GTS will already be built in (unlike in the Samsung’s Exynos 5 SoC released before), so when the scheduler software will be mature enough it will run well on MT8135. The inclusion of just two cores of each (unlike in Exynos 5) is a very strong proof-point of that. As far as the GPU is concerned we know from Zhu Shangzu interview that an Imagination GPU will be used, therefore I will leave the next-generation SGX6XX (PowerVR Series6 or ‘Rogue’) indication in the above table. Update: It is the PowerVR G6200 GPU [from the block diagram corresponds to the PowerVR G6230] as you could see from Imagination block post published on the MT8135 announcement (July 29), and included here in front of the original post.

      with the following commentary:

      Strong fundamentals intact. Having exceeded its 2Q13 guidance so significantly, we believe MTK will continue to ride the strong momentum in 3Q13, perhaps growing its revenue by low-to-mid-teens QoQ or 30% YoY to chalk up another record high of TWD36-38b [US$1.2-1.27B]. Importantly, a better product mix and cost structure would help lift its profitability to ±44%. We expect MTK to ship 70-72m units of smart devices, up 25-30% QoQ, with quad-core APs and tablets making up nearly 50% of total shipment. The benefits of operating leverage should drive OPM past 20%, the highest since 3Q10. MTK is set to report its 2Q13 results in late July or early August and we forecast net profit of TWD6.8b [US$227M] (EPS: TWD5.02; Street: TWD6.3b), up over 80% QoQ and 100% YoY. GM is also likely to meet the high end of its guidance, ie, 43.5%, on richer mix and improved cost structure. Reported revenue of TWD33.3b, up almost 40% QoQ and 42%YoY, is already well ahead of guidance (TWD30-32b). However, we cut our FY13/14 earnings forecasts by 3% each to factor in the delay in merger with MStar and potential inventory correction in 4Q13/1Q14. MTK remains a key BUY in our tech space.

      Closing in fast on QCOM. MTK has spared no efforts to enhance its smart device portfolio since 2H12 and further signs of acceleration are evident. It is introducing two high-end APs in 4Q13MT6588 and MT6592 – using 28nm HKMG and advanced graphic features. While the former is a quad-core AP operating at 1.7GHz, the latter is capable of running at 2GHz (when all eight core engines are turned on). In the absence of full details, we estimate MT6592 may perform closer to Qualcomm Snapdragon 600 AP (used in Galaxy S4 and HTC One), while MT6588 should outshine Snapdragon 400. MTK has won several international OEMs with MT6589 and with MT6588/6592, its chances of penetrating tier-1 OEMs have increased significantly. In addition, it will sample its high-end 4G/LTE/LTE-TDSCDMA modem chipset in anticipation of the launch of 4G network in China later this year. As for tablets, MTK’s latest APs MT8125/8389 were well-received and it is set to deliver the high-end MT8135 (big.Little design) in 3Q13. We expect its smartphone/tablet shipments to reach 200-225m/25m units in 2013.

      In the same part of the interview Zhu Shangzu explained MediaTek’s high-end strategy as follows (as translated by Google and Bing with manual edits):

      image

      … I think the future of high-end smartphones innovation will focus on the expansion of big screen multimedia applications, and this is our direction. …

      Judging from the current situation, customers of high-end flagship phones are still using the products of the competitors, but there is flagship in our quad-core case as well, and OPPO, Vivo and GiONEE and other quad-core phones are also very popular. Our next goal is to get the customers of flagship machines using our platform via helping customers to achieve stronger performance on the big screen multimedia.

      Therefore, the 8-core MT6592 can be regarded as our first bugle call for moving towards the high-end market. Our mission is that one day customers can also recognize MediaTek as doing high-end flagship products. MT6592 is the first step, strictly speaking, it is not the most high-end platform, next we will move step by step towards the higher end.

      Q: Why will MediaTek use eight small A7 cores as a generation of high-end platform, but did not choose to use four large A15 cores or four big and four small ones as a way to achieve the goal? This is also a question for the industry as there are many controversial issues with this.  

      For power, or performance per watt, we did a lot of investigation. Eight A7 cores is currently the best solution, and as through a process we designed to boost peak frequency of the A7 to 1.9-2Ghz, performance is also very strong.

      Currently we chose a small core, because under the existing process, the larger the chip die size, the larger is the standby leakage, resulting in higher standby power consumption. For example, the A15 is the strongest core currently, but not in run-time power cosumption. Even if its frequency is pushed down to very low levels, there is still a larger leakage. Therefore, the larger is the area of a ​​single-core, the larger is the overhead energy efficiency, and as long as the poweris on, there will be a greater leakage.

      In addition, the 8-core CPU is just one aspect of improving the mobile multimedia experience. In fact, as we have been doing MediaTek digital TV for a long time, we will extend that digital TV competency here – some strong move for the smartphones. This is what other platform vendors can not do. In the 6592, for example, the latest HEVC codec will be integrated. [HEVC is a video compression standard, a successor to H.264/MPEG-4 AVC]

      Although our MT6592 GPU is also using a ‘Deluxe Mali quad-core GPU, but in order for content developers to achieve better compatibility, our HEVC is a software solution via the 8-core CPU, it is not using a GPU- based software solution. Because there are some strong content developers who will use their own HEVC decode. Currently the ‘Deluxe’ quad-core GPU on 6592 is mainly used to perform large-scale games and to do some advanced UI.

      [Part 3] How to plan the future in the tablet market?

      Q: I do note that the MT6592 is now using a quad-core Mali GPU, while before the MediaTek mainstream used Imagination GPU. How would you rate these two companies’ products?

      The Imagination company has been doing GPUs long time in its history, the architecture design is beautiful, more artistic. The initial architecture of Mali [from ARM] would be more rough, and therefore area and power consumption will be worse. But after nearly three years of time, Mali has made a lot of progress, both are learning from each other, and by now the levels of these two are equal. The future perspective is that ARM’s overall resources are somewhat more fully available.

      Q: This year we have seen MediaTek  to attack the tablet market, what is the plan for the future in the tablet market?

      A: Our current strategy is to carry out a mobile phone product line extension.

      At the end of July the launch of a tablet chip is expected: the MT8135, with 2xA15 +2xA7, still using an Imagination GPU [Update: It is the PowerVR G6200 GPU (from the block diagram corresponds to the PowerVR G6230) as you could see from Imagination block post published on the MT8135 announcement (July 29), and included here in front of the original post], and mainly targeting the high-end tablet market. A small reminder, our MT6572 is not suitable for tablet computers as the original definition did not take into account the application of flat-screen.

      [Part 6] If Google Android OS will be converged how MediaTek will respond?

      Q: There is also a very large concern, as the industry is worried that after doing their own hardware next year (e.g. Xphone, watches, glasses, etc.) whether Google will close the Android OS, i.e. to do a Pure Android later on, and don’t let OEMs to change it? MTK will also have a very big impact, what do you think? What is the MTK attitude on other free OS’s?

      A: If Google OS will be closed and converged that will have a huge impact on us. But from what we observe and communicate with Google, they will not close the OS or converge it. Google’s profitability does not depend on OS, he is relying on the service for profit. By doing hardware Google also aims to promote his services, he is very happy to use someone else’s machine on their home services.

      Of course, we will also be prepared, as we comprehesively examine and take into account the prevailing factors. We will use Windows as a second priority, while using Firefox [OS] and HTML5 as a secondary backup, by keeping track of them. Because we judge that the [Android] OS convergence from Google profitability point of view is very low, therefore our vote for these two emerging open OS’s is in the ‘not so urgent’ category, in addition to and outside of Android. The other focus is again on Windows Phone 8For the moment, however, WP8 hardware configuration requirements are still higher (mainly memory), power consumption – after optimizing the gap with Android – is not too large.


      News reports about MT6592 and its first application
      Update: MediaTek True Octa [MediaTek, July 23, 2013]

      Efficient video playback:
      When on decoding mode, the battery used for decoding HEVC (H.265) FHD video
      can be reduced by up to 18 percent compared to current quad-core solutions
      (from MediaTek True Octa-Core Position Paper [MediaTek, July 23, 2013])

      July 18 this information appeared on the English http://en.v5zn.com/ website of the related smartphone vendor as well: MediaTek MT6592’s first eight-core mobile phone exposure makes you believe [July 15, 2013] as translated by Google and Bing with manual edits

      MediaTek so-called true eight-core processor MT6592 was announced not long ago, it is expected the first models equipped with processors to surface. It broke the news, that the domestic mobile phone manufacturer brand named after the 19th-century French writer Jules Verne [凡尔纳] has been determined to launch a flagship model “V8” quipped with the MT6592 processor.

      Verne’s current main product is the “V5” model, equipped with a quad-core MediaTek MT6589, and a 5-inch 720p OGS full lamination screen, 1GB of RAM, 4GB storage, 8-megapixel back-illuminated camera, 2400 mAh Battery, with a list price of 999 yuan [$166].

      V8 has not yet announced the exact configuration bit it is estimated to have about 5.5 inch 1080p screen, 2GB RAM, 32GB storage, 13 million pixels Sony stacked camera, higher capacity battery, etc., without these natural shot himself embarrassed flagship.

      It looks like that cooperation between MediaTek and the domestic Shanzhai vendors remains close. As MT6589 has rocked the Main Street, MT6592 will soon become a standard, and “an eight-core” promotion will be overwhelming.

      Incidentally recap: MT6592 uses eight Cortex-A7 architecture cores, clocked at up to 2.0GHz, with TSMC 28nm manufacturing, Antutu run is known as close to 30,000, but the graphics core has not been confirmed, PowerVR SGX 544MP4/554MP4 are likely [it will be Mali, as communicated by MediaTek, see above].

      The marketing of the processor has begun to customers, but mass production will be in November, so if recent high profile publicity is to be fulfilled, certainly we will have a large sale early next year.

      Company introduction [Jules Verne mobile phone, January 16, 2013] as translated by Google and Bing with manual edits

      Shenzhen MINDRAY Platinum Communication Technology Ltd. is is specialized in products development, production, sales and service of intelligent mobile terminals of high-tech companies. Under the “Jules Verne VOWNEY” brand the company is to create a mobile intelligent terminal brand.
      MINDRAY Platinum company with “intelligent life” as the brand mission, is to “enhance the user experience, to help people grasp the development opportunities” as the goal, trying to make Jules Verne a trustworthy, continuous innovation and smart moves life guide. Every effort, just as long as you!
      Jules Verne mobile phone network direct sales, stripping agents layers, increases direct benefits to consumers. We are committed to allow more consumers to have a better quality of life with an intelligent terminal.
      The “Jules Verne VOWNEY ” brand aspires to be able to improve the quality of life for mobile users intelligent terminal INITIATIVE persons.
      is to become quality of life can improve the user moves Smart The Terminal Guide. Lead you into “Slide 5.0”.
      “Verne VOWNEY “brand aspires to be able to improve the quality of life for mobile users intelligent terminal INITIATIVE persons. I lead you into the “Slide 5.0″era.
      Brand interpretation
      Jules Verne: a derivative of intelligent life???
      English explanation : VOWNEY
      V : value— Value
      O : opportunity— Opportunity
      W : worth— It is worth
      N : new— New
      E : e— Mobile Internet
      Y : you— You
      Jules Verne is to ” create a new life guided smart” as the goal, and strive to become a trusted, sustainable and innovative mobile phone brand, all efforts, just because of you!

      Mediatek MT6592 8 core processors coming by the end of July! [Gizchina.com]

      Reports out of Taiwan state that Mediatek will launch the MT6592 8-core processor by the end of July.

      There was word that Mediatek were working on an 8 core chipset late last year, but like many we believed it had been placed on the back burner while they prepared their LTE chip. This seems to be wrong though as sources in Taiwan claim that Mediatek’s 8-core processor will arrive before the end of this month!

      The MT6592 chip will be made up of 8 Cortex-A7, 28nm processor clocked at a frequency of up to 2Ghz! Early tests have the 8 core MT6592 scoring up to 30,000 points in Antutu which is more than Samsung’s 8 core Exynos 5410 processor.

      The first batch of these new processors will be ready for manufacturers to begin development by the end of July, while Mediatek are preparing full-scale manufacture for November!

      If everything goes to plan we can expect powerful 8 core phones from Tier 1 Chinese phone manufacturers by December!

      MediaTek to launch true 8-core, 2GHz MT6592 chipset in November? [Engadget, July 2, 2013]

      Samsung may already have its 8-core Exynos 5 Octa offering, but the original “big.LITTLE” implementation means only up to four cores work together at any time — either the Cortex-A15 quartet or its lesser Cortex-A7 counterpart. In other words, we’d rather rename the chipset range to something like “Exynos 5 Quad Dual.” But according to recent intel coming from Taipei and Shenzhen, it looks like Taiwan’s MediaTek is well on its way to ship a true 8-core mobile chipset in Q4 this year.

      The first mention of this 2GHz, Cortex-A7 MT6592 chip came from UDN earlier today. The Taiwanese publication claims MediaTek started introducing its first octa-core product to clients last week, and it’s expected to enter mass production using TSMC’s 28nm process in November. The first mobile devices to carry this hot piece of silicon may hit the market in early 2014 — hopefully just in time for the Chinese New Year shopping rush.

      UDN adds that the MT6592 scored close to 30,000 on AnTuTu, which is pretty high but still some distance behind Qualcomm’s 2.2GHz quad-core Snapdragon 800. Of course, chances are MediaTek’s offering will be much cheaper, as evidenced by all the affordable MediaTek-powered devices in China these days.

      In a separate article from last week, UDN pointed out that judging by over a hundred job openings released by MediaTek last month, the company is clearly putting an emphasis on 4G LTE technology, alongside GPU and Android development. The publication also quoted chairman Tsai Ming-kai saying he will launch an LTE solution in Q4 this year, by which point MediaTek will only be one or two years behind its competitors.

      The second piece of info came from HQ Research analyst Pan Jiutang, who posted an alleged spy shot of MediaTek’s upcoming roadmap (pictured left). There the octa-core MT6592 is listed with a clock speed of 1.7GHz to 2GHz, along with 1080p 30fps video decoding support. There’s also a quad-core 1.7GHz MT6588 accompanying its octa-core sibling in the same period on the timeline, though it appears to be just a faster version of the current 1.2GHz MT6589.

      For the sake of phone manufacturers, both new chipsets will apparently be pin-to-pin compatible with the quad-core 1.3GHz MT6582 due Q3 this year, thus lowering R&D costs. Better yet, the roadmap also states that the MT6290 LTE modem — as teased by Tsai above — will be compatible with these three chipsets.

      With MediaTek quickly catching up ahead of China’s eventual TD-LTE launch, Qualcomm will need to tread carefully to keep its Chinese QRD partners happy.

      [Thanks, Ryan!]

      Update: It’s worth noting that ARM’s eventual “big.LITTLE MP” implementation will allow all eight cores to run simultaneously, but the Exynos 5 Octa currently doesn’t support this. Thanks, UncleAlbert!

      SOURCE: Sina Weibo (login required), UDN (1), (2)


      What is new vs. my earlier
      The state of big.LITTLE processing [‘Experiencing the Cloud’, April 7, 2013] report

      Power scheduler design proposal [by Morten Rasmussen from ARM on Linux kernel mailing list, July 9, 2013]

      This patch set is an initial prototype aiming at the overall power-aware scheduler design proposal that I previously described <http://permalink.gmane.org/gmane.linux.kernel/1508480>.

      The patch set introduces a cpu capacity managing ‘power scheduler’ which lives by the side of the existing (process) scheduler. Its role is to monitor the system load and decide which cpus that should be available to the process scheduler. Long term the power scheduler is intended to replace the currently distributed uncoordinated power management policies and will interface a unified platform specific power driver obtain power topology information and handle idle and P-states. The power driver interface should be made flexible enough to support multiple platforms including Intel and ARM.

      This prototype supports very simple task packing and adds cpufreq wrapper governor that allows the power scheduler to drive P-state selection. The prototype policy is absolutely untuned, but this will be addressed in the future. Scalability improvements, such as avoid iterating over all cpus, will also be addressed in the future.

      Thanks,
      Morten

      From <http://permalink.gmane.org/gmane.linux.kernel/1508480>

                              +-----------------+
                               |                 |     +----------+
               current load    | Power scheduler |<----+ cpufreq  |
                    +--------->| sched/power.c   +---->| driver   |
                    |          |                 |     +----------+
                    |          +-------+---------+
                    |             ^    |
              +-----+---------+   |    |
              |               |   |    | available capacity
              | Scheduler     |<--+----+ (e.g. cpu_power)
              | sched/fair.c  |   |
              |               +--+|
              +---------------+  ||
                 ^               ||
                 |               v|
       +---------+--------+  +----------+
       | task load metric |  | cpuidle  |
       | arch/*           |  | driver   |
       +------------------+  +----------+
      

      Linux Kernel News – June 2013 [by Shuah Khan in Linux Journal , July 9, 2013]

      As always the Linux kernel community has been busy moving the Linux mainline to another finish line and the stable and extended releases to the next bump in their revisions to fix security and bug fixes. It is a steady and methodical evolution process which is intriguing to follow. Here is my take on the happenings in the Linux kernel world during June 2013.
      Mainline Release (Linus’s tree) News
      Linus Torvalds released Linux 3.10. You can read what Linus Torvalds had to say about this release in his release announcement athttp://lkml.indiana.edu/hypermail/linux/kernel/1306.3/04336.html
      Two notable features in this release are improved SSD caching and better Radeon graphics driver Power Management.

      Power efficient scheduling design
      Ingo Molnar (Red Hat, x86 maintainer), Morten Rasmussen (ARM, power mgmt.), Priti Murthy (IBM, scheduler), Rafael Wysocki (Intel, Linux PM, and Linux ACPI maintainer) and Arjan van de Ven discussed the proposed power-aware or power-efficient scheduler design and what’s the best way to integrate it into the kernel.
      Power management and the ability to balance performance and power efficiency is important and complex. It is not just about scheduler or cpus. It spans I/O devices that transition into lower-power states and how costly it is to bring them back to fully active state when needed. There is latency involved in these transitions. As always, Linux developers reach consensus to solve complex problems such as these and come up with path to get to the goal taking small steps towards that goal. Here is another example of that process at work.

      Power-efficient scheduler work has been active for a few months now. Several RFC patches have been floated and discussed. This work is being pursued very actively in x86 space by IBM and in ARM space by ARM. The premise is that, if scheduler could pack tasks on a few cores and keep these cores fully utilized and, transition other cores to low power states, when the scheduling goal is power savings over performance. In other words, instead of keeping all the cores active, scheduler could consolidate tasks on a few cores and transition other cores to low-power states for better power efficiency.

      It is easier said than done. Scheduler is at a higher level and would not be the best judge of making decisions on transitioning CPUs to idle states and deciding on the ideal frequency they should be running at. These decisions are better left to platform drivers that have the specific knowledge of the platform and architecture as they are complex and very hardware specific. In other words, power aware scheduler tuned to run well on x86 platforms will not work as well or could fail miserably on ARM platforms.
      Scheduler has to accomplish load balancing as well as power balancing in a way to meet performance and power goals and do it well on all platforms. A generic scheduler doesn’t have to control and drive low-power state decisions on a platform. However, the goal of power-efficient scheduler is to set higher level abstracted policies that would work on all platforms. After a long and productive discussion, there is a consensus and here is the summary:
      • A new kernel configuration option CONFIG_SCHED_POWER to enable/disable the power scheduler feature. Power scheduler is totally inactive, when CONFIG_SCHED_POWER is disabled, and fully active when CONFIG_SCHED_POWER is enabled. The important goal is evolving the power scheduler feature without disrupting and destabilizing the current scheduler.
      • Work on a generic power scheduler with hardware and platform abstractions that will work well on big little ARM, x86, and other platforms. Avoid platform specific power policies that could lead to duplication of functionality in platform specific power drivers.

      Please check the Linux Foundation site for presentations made at the Linux Collaboration Summit back in April 2013 on this topic. Here is the link to Jonathan Corbet’s blog on this topic.
      http://www.linux.com/news/featured-blogs/200-libby-clark/715486-boosting…

      From: big.LITTLE Software Update [by George Grey on Linaro Blog, July 10, 2013]

      There are also two software models now available, that ARM and Linaro have developed to enable control of workloads, performance, and power management on big.LITTLE SoCs.

      The first is the IKS [In Kernel Switcher, also known as CPU Migration]software, developed by Linaro, that treats each pair of Cortex-A7 and Cortex-A15 cores as a single ‘virtual’ core. On a multicore SoC each pair is treated as 1 of n virtual symmetric cores by the Linux kernel.

      Core Software Configuration for IKS (4+4)

      image

      Using existing mechanisms in the Linux kernel for each pair the cpufreq driver controls whether the Cortex-A7 is active (for low power) or the Cortex-A15 is active (for maximum performance). Overall maximum performance and throughput on a 4+4 core SoC is from 4 Cortex-A15s. The key attribute of IKS is that it relies on existing well-understood mechanisms in the Linux kernel and it is easy to implement, test and characterize in a production environment.

      The second is the Global Task Scheduling (GTS) [also known as big.LITTLE MP or Heterogeneous Multi-Processing (HMP)] software developed (and now named) by ARM. This is known in Linaro as big.LITTLE MP. Using GTS all of the big and LITTLE cores are available to the Linux kernel for scheduling tasks. We are very proud that Linaro has contributed to ARM’s development of the GTS software, and that it is now publicly available in Linaro builds. ARM and Linaro recommend GTS for new products, and Linaro members are actively planning product deployments using this solution.

      Core Software Configuration for GTS (4+4)

      image

      The big.LITTLE MP patch set creates a list of Cortex-A15 and Cortex-A7 cores that is used to pick the target core for a particular task. Then, using runnable load average statistics, the Linux scheduler is modified to track the average load of each task, and to migrate tasks to the best core. High intensity tasks are migrated to the Cortex-A15 core(s) and are also marked as high intensity tasks for more efficient future allocations. Low intensity tasks remain resident on the Cortex-A7 core(s).

      IKS and GTS are now publicly available in Linaro monthly engineering releases for the ARM TC2 Versatile Express hardware, and in Linaro’s interim Long Term Supported Kernel (LSK) build. Both will also be incorporated into the first full Linaro LSK, which will be based on the next Linux Foundation, Greg Kroah-Hartman designated, Long Term Supported (LTS) kernel.

      Until GTS functionality is fully upstream, ARM is supporting the big.LITTLE MP patch set for its licensees, leveraging Linaro’s public monthly and Linaro LSK builds, so that it is available to all ARM licensees for product integration and deployment. Linaro also expect to provide a topic branch for the latest work available on the upstream GTS implementation for interested developers.

      ARM and Linaro now recommend product development and deployment to be based on the GTS solution. However, there are some cases where hardware limitations or a requirement for the traditional Linux scheduler (for example in some embedded applications) may lead to IKS still being required.

      Future Work

      Power management software in Linaro is worked on by the Power Management Working Group. Other activities within the Group will enable additional power savings on ARM multi-core devices. One current project worth highlighting is the work being done by Vincent Guittot on small-task packing. Normally the Linux kernel will spread running tasks over all the available CPU cores. On a handset in standby, or even when being used with low activity, there may be a number of housekeeping and other small tasks that run in the background or relatively infrequently and therefore keep cores active unnecessarily. If “small” tasks can be migrated to one core, then the other cores could be made idle or even turned off completely, potentially resulting in significant power savings. This feature is expected to offer improved power management to systems based on symmetric multi-core SoCs (for example dual or quad-core Cortex-A7 or Cortex-A15 parts), as well as big.LITTLE SoCs.

      While the current big.LITTLE efforts are focused on Cortex-A15 and Cortex-A7, the techniques being implemented today for 32-bit systems are already being run on 64-bit models. We therefore expect to see the GTS software running on 64-bit Cortex-A57 and Cortex-A53 based big.LITTLE SoCs as soon as they become available.

      Real Life Results

      ARM has published further information on big.LITTLE configurations and performance in a blog entry here [Ten Things to Know About big.LITTLE [Brian Jeff on SoC Design blog of ARM, June 18, 2013]].

      The first commercial products based on big.LITTLE are certain international versions of the latest Galaxy S4 phone from Linaro member, Samsung. Samsung-LSI provide an ‘Octa-core’ 4+4 big.LITTLE chip for this phone. As has been publicly noted, the current generation of hardware cannot yet take full advantage of the IKS or the GTS designs because the hardware power-saving core switching feature is implemented on a cluster basis rather than on a per-core or a per-pair basis. Even so, the first big.LITTLE implementation produces performance and power consumption on a par with the latest Qualcomm multi-core Snapdragon processor according to reviews from Engadget, PocketNow and others. Often first implementations of new technology never see the light of day – it is a tribute to Samsung’s engineers that the Exynos 5 is already seeing the Cortex-A15 level of performance with the power saving of the Cortex-A7s in a mass market handset in the very first big.LITTLE iteration.

      We look forward to seeing what improvements full use of GTS will bring when used on future production devices from Samsung and others.

      More information: Power Management with big.LITTLE: A technical overview [by Steven Willis in SoC blog of ARM, June 20, 2013]

      Why all this sudden attention on the Linux Scheduler? [LCE13, Linaro Connect Europe]

      12:00 PM – 13:00 PM on Monday, Jul 8, 2013 (IST)

      Description

      The Linux scheduler is getting a lot of attention in the ARM ecosystem these days. Come to this discussion to find out why.

      Several people working on the scheduler or interested in changes to the scheduler will be invited to talk about their requirements, what is the state of their work, who will benefit from it, etc.

      Video record of the Why all this sudden attention on the Linux Scheduler? dscussion

      Minutes of the above discussion

      Determinism: problems
      ———————
      * Preemption: interrupts, locking
      * Latency
      * Scheduling overhead
      * Realtime processing
      Most of the requirements are coming from LEG/LNG.
      Solutions:
          – PREEMPT_RT
          – Adaptive NO_HZ (merged in 3.10)
              Came out of high-performance computing. When there is just one
              task, the scheduler is switched off for that CPU. Results in
              zero scheduler overhead. When the only task finishes – the CPU
              will get into scheduling/idle again.
              There is still once-per-second tick for scheduling. There
              is a patch removing that last remaining bit to make it fully
              tickless.
              We’re not sure yet if all the possible limitations are found –
              there still might be some scheduler overhead left.
              If interrupt handling is offloaded to other cores, caching
              related issues will still affect performance (e.g. serving IO
              interrupts for the task on a different core will require the
              dedicated core to cache the date once again).
          – Deadline
      Physical process isolation: none addresses
          – Needed for KVM.
      Temporal isolation: all three (with some limitations)
      No scheduling overhead: ADAPTIVE NO_HZ only.
      Firm/Hard Real-time PREEMPT_RT only
      Complexity:
          high for PREEMPT_RT
          low for the rest
      Requirements:
      all of the above
      Power efficiency: history
      ————————-
      * sched-mc (got removed)
      * big.LITTLE MP patches implementing GTS (ARM)
      * Packing Small Tasks (Linaro/ARM)
          Pack all small background tasks on as little number of small cores
          as possible to conserve power.
          Intel approach does not care about which core is selected as the
          best one (Turbo Mode is effectively converting the core into a BIG
          core, while all the other cores are becoming little ones). Task
          migration is expensive – this approach helps avoiding it.
      * Power aware scheduling (Intel)
          Discussions were lasting for a while and then Ingo Molnar requested
          an integral solution (not a set of independent bits).
          He made a good point. What we have an SMP legacy implementation.
          Are we starting from scratch because of that?
          It is going to be a significal change. We need to re-think as it’s
          not SMP case anymore. b.L is not a new architecture – Intel already
          does that but differently.
          The task is to find the most efficient way of performing the work
          needed. The best place to make those decisions is the scheduler.
          Power officiency – proposal (from ARM)
          ————————————–
          Separate process and power scheduler (ARM). This is the first step
          to get to the fully integral scheduler in the future. Helps fighting
          with the complexity at hand. In this case there are certain
          limitations – one of the schedulers will be leading while the second
          one will be limited.
          That doesn’t work well for Intel CPUs (no pre-configured small/BIG
          cores).
          Issues:
          – Topology
              Missing:
              – Frequency domains, which CPUs are affected. That would be
                useful for the scheduler.
          – Idle + DVFS
              Missing:
              – information about the cost of using a certain core at certain
                DVFS operation point to perform a certain amount of work.
          – Thermal
              The idea is to keep an eye on the temperature trend to avoid
              cases when whole cores are needed to be temporarily shut down to
              cool them down.
              GPU contribution into the thermal budget should also be
              considered
      .
          Trying to control DVFS from the scheduler. Patches are expected very
          soon
      .
      Q: How much of the improvements are we looking for (power wise)?
      A: Something that will get upstream. 😀

      Linux 3.10 [by Linus Torvalds on Linux kernel mailing list, June 30, 2013]
      Linux kernel 3.10 arrives with ARM big.LITTLE support [Engadget, July 1, 2013]

      Thanks to Linus Torvalds’ figurative stroke of the pen, the Linux kernel 3.10 is now final — paving the way for its inclusion in a bevy of Linux distributions, and even offshoots such as Android and Chrome OS. The fresh kernel brings a good number of changes, such as timerless multitasking, a new caching implementation and support for the ARM big.LITTLE architecture. In simplistic terms, the new multitasking method should help improve performance and latency by firing the system timer only once per second — rather than 1,000 times — when tasks are running. Meanwhile, users with both traditional hard drives and SSDs will find performance benefits from bcache, which brings writeback caching and a filesystem agnostic approach to leveraging the SSD for caching operations. Also of significance, Linux kernel 3.10 enhances ARM supportby including the big.LITTLE architecture, which combines multiple cores of different types — commonly the Cortex-A7 and Cortex-A15 — that focus on either power savings or performance. The full list of improvements is rather lengthy, but if you feel like nerding out with the changelog, just grab a caffeinated beverage and get to it.

      Linaro 13.06 Released! [by Amber Graner on Linaro Blog, June 27, 2013]

      The Linaro 13.06 release is now available for download!

      It’s been a very active cycle for the Builds and Baselines team, reporting that the Continuous Integration (CI) loop for the Linaro Stable Kernel (LSK) Android proof of concept which is based on 3.9.6 kernel version was set up and includes the big.LITTLE IKS and MP patches (also called beta patchset). Support for Kernel CI loop with Android filesystem was added to android-build and CI loop was set up to track the ARM Landing Team (LT) integration tree. The HiSilicon member build with complete CI loop was set up and now tracks the LT kernel tree.